win10 32bitマシンでuvm1.2

win10の入った32bitマシンでも、uvm-1.2動かせないかな?と思ってトライしてみたら、ダメでした。

  1. set dir = ../uvm-1.2/src
  2. vlog -sv $dir/uvm_pkg.sv +incdir+$dir +define+UVM_NO_DEPRECATED $dir/dpi/uvm_dpi.cc -ccflags -DQUESTA
-- Compiling DPI/PLI C++ file ../uvm-1.2/src/dpi/uvm_dpi.cc
** Error: (vlog-70) Compilation of the C/C++ src files failed with the error messages given below.
This application has requested the Runtime to terminate it in an unusual way.
Please contact the application's support team for more information.

念のため、見せるgccのパスをmodelsimに同梱の物にしたのですが回避できず…。

うーん、uvm-1.2、くせ者ですね。

仕方ないので、このマシンではuvm-1.1dを使うことにします。(妥協)