modelsim_10.5b

以下は、動いたので無視してください。別途「win7 64bitマシンで動かしてみた」エントリーに調べた結果を書きます。

掲題の無償版modelsimをインストールし、uvm-1.2で動作させてみたのですが、uvmのコンパイルおよびサンプルコードのコンパイルはできるけど、vsim実行時にエラーが出てしまいます。

# ** Fatal: (vsim-7019) Can't locate a C/C++ compiler for 'DPI Export Compilation'.

さて、どうやって回避したものやら…。

→ ググってみたら、結構前から出ている人は出ているエラーみたいでした。今時uvmは1.2だろうから、できれば1.2を使いたいからmodelsimをバージョンダウンするかなぁ。

→ cygwin環境を最新にしたらすこし進展がありましたが、エラーログをみると…aseが64bit OSサポートがないのに、自分が64bit windowsで動かそうとしているからダメなんだな、と気が付きました。PE版だと 64bit OS対応だそうです。