02. Hello World!!

(更新:2021/02/05)

プログラミング言語で最初にすることといえば、そう、Hello World!!です。

では早速始めましょう。

--- test.sv

module test;

initial begin

$display("Hello World!!");

end

endmodule

これだけです。

ModelSimによるコンパイル手順を書いておきます。

vlib work(そのディレクトリで一回だけ実施)
vlog test.sv(コンパイル)
vsim test -do "run -all;quit"(実行)

これで実行完了です。

initial begin~endブロックの中に書いた記述は、シミュレータ実行時に即座に実行されます。

moduleの名前は、ここでは "test" としましたが、なんでもいいです。

$displayは、自動的に改行コードを埋め込むので、"\n"は不要です。$writeを使うと、改行コードは埋め込まれないので、自分で改行コードを入れる必要があります。

$write("Hello World!!\n");