04. sequenceとsequencer

  • 実行されるsequenceの大元は、default_sequenceへセットしたものになります
    • このsequenceの中で記述する
      • `uvm_do
      • `uvm_do_with
      • `uvm_create
      • `uvm_send
    • というマクロは、default_sequenceをセットしたsequencerに渡されます
    • このマクロで渡せるものは、同系列のsequenceまたはsequence_itemとなります
  • virtual sequenceというのは、default_sequenceとしてsequencerにセットしたsequence系列で、他のsequencerへ実行したいsequenceを渡したものです
    • virtual sequenceの実行では、以下のマクロを使います
      • `uvm_do_on
      • `uvm_do_on_with
      • `uvm_create_on
    • これらのマクロは、第二引数に「対象sequencer」をセットします。第一引数にはsequenceしかセットできません。sequence_itemは使えません(と書いてあった気がする)