01. 互換性の問題

リリースノートから、互換性に関する記述のみ、ざっとピックアップしてみようと思います。

ざっとなので、すべてを取り出してはいません。

Mantisとは、UVMクラスライブラリのバグトラッキングシステムの名称だそうです。

細かい内容は、このMantis番号でリリースノートをサーチしてください。

  • 後方互換に関するIssue(リリースノートで * がついているもの)
    • Mantis 3472
      • これ使っている人多いんじゃないですかね。そろそろuvm_config_db系に移行した方がいいかもしれません。
      • set_config系:set_config_int, set_config_string, set_config_object
      • get_config系:get_config_int, get_config_string, get_config_object
    • Mantis 4416
      • まあ、使わないでねってことで。
      • uvm_severity_type. Internally using uvm_severity
    • Mantis 4518
      • uvm_objectを継承したクラスの定義では、string name指定がコンストラクタでmustになるという話のようです。まあ、名前をつけておかないと、factoryで処理できないですから。
    • Mantis 4649
      • *_field_int()系を使うところで高速化されたそうです。互換性の話としては、print_intの代わりにprint_fieldを用意したけど、print_intも後方互換のために残しておく、ということです。