安裝 Quartus 7.2 for Linux

Installing Quartus 7.2 for Linux

先到 Altera 的網站下載 Quartus 7.2,含 sp3 共有六個檔,如下72_ip_linux.tar、 72sp3_modelsim_ae_unix.tar、72_modelsim_ae_unix.tar、72sp3_quartus_linux.tar 、72_quartus_linux.tar、72sp3_ip_linux.tar。

其中72_quartus_linux.tar是必需的,可以只下載這個檔來裝。安裝方式和 6.1 版差不多,所以在此不多說了。

下載的安裝檔可以安裝在 32-bit 或 64-bit 的 OS,但是在 64-bit 的 Gentoo 上還是只能裝 32-bit 的版本。

安裝及使用quartus,需要使用 C shell,而 Gentoo 預設是使用 bash,所以要先安裝 tcsh。

預設安裝目錄 /opt/altera7.2/quartus7.2

安裝好之後,執行 /opt/altera7.2/quartus7.2/bin/quartus,至於 Licence 就設法到處找找看了。

有好幾個目錄下都有叫 quartus 檔案,例如 /opt/altera7.2/quartus7.2/linux 下也有,若是執行這個就跑不動了。

因為 bin 目錄下的是 shell script,會先設定一堆參數。

至於 libsys_cpt.so,請將位址 0xc617b 的內容(55 89 E5)改為 "31 C0 C3" 即可。

Opening the file with a hex editor, then change the content at address 0xc617b to "31 C0 C3".

噢,這個版本一般使用者也可以執行,真是太棒了。

若是開啟 Licence設定 或 Options 選單會造成程式當掉。可以參考此網址

"http://nioswiki.jot.com/WikiHome/OperatingSystems/QuartusforLinux".

下載 libX11.so.6.2 將檔案儲存至Quartus安裝目錄的 linux 目錄下,例如 /opt/altera7.2/quartus7.2/linux。然後到 linux 目錄下,

執行 ln -s libX11.so.6.2 libX11.so.6

即可解決此問題。

若要移除舊的安裝,只要將安裝程式的目錄整個刪除即可,例如 quartus6.1 裝在 /opt/altera6.1 之下,則只要執行 rm -rf /opt/altera6.1 即可。

另外,注意一下,/etc/hosts 要設對,在 altera 的說明中有這麼一段:

On a system with a static IP address, ensure that the /etc/hosts file has an entry for the host name of the machine on which you are running. For example, if the workstation is named “orange,” there should be an entry in /etc/hosts with the IP address of the “orange” workstation as shown below:

orange

In addition, the network configuration (hostname, DHCP host name, DNS search path, and domain names) must be correct or the Quartus II software will abort on startup.

我因為裝無線網卡時,修改了相關的設定,執行 quartus 會出現 "已經終止" (或是 "Abort") 的訊息,重新安裝了好幾次,還是不能執行,後來修改了/etc/hosts 後,才正常執行。

例如由 hostname 指令回傳為 myhostname, 則 /etc/hosts 的內容需為

127.0.0.1  localhost
10.161.86.130 myhostname

在 Linux 安裝 Quartus 時,有一件因網路而發生的奇特事情,在此稍微敘述一下。Quartus 的軟體是有保護的,若只是想試用,需要動一些手腳才能使用的。我是依著網路搜尋的結果,安裝好6.1版,想要試7.2版,但找不到解決方法。後來有個叫 sonic_hedgehog_tails 經由Google的網頁翻譯,看了我的文件之後,然後再用Google的網頁翻譯讀俄國的相關文件,然後找出安裝7.2版的方法,再告訴我。很神奇吧!

sonic_hedgehog_tails 如是說,懂得人也許就能夠安裝後續版本的Quartus了。

Now regular quartus tool flow can work, except for the Design Space Explorer,

to get the Design Space Explorer working do the following:

* Note the first few bytes (8 or more) in the 'l_pubkey_verify' function of the

original libsys_cpt.so, and search for those bytes in quartus_sh (using a hex

editor). I found that the number of bytes to search for (which were 8 for

Quartus 8.0) are the minimum number of bytes which will match only once in

quartus_sh.

* Replace the first 3 bytes with those bytes: 31 C0 C3

And thanks to you too !