Conference

International

[21] Soyeon Kim, Jaewook Yoo, Ji-Man Yu, Hyeonjun Song, Hongseung Lee, Seongbin Lim, Minah Park, Seohyeon Park, Yang-Kyu Choi*, and Hagyoul Bae*, “Fully I-V-              based optoelectronic differential ideality factor method for extraction of interface traps in 3D Si vertical transport FET” The 9th International Conference on                        Microelectronics and Plasma Technology (ICMAP 2024), Jeju, S. Korea, Jan 15‒18, 2023. (Oral Presentation).

[20] Jaewook Yoo, Chan-Song Moon, Hagyoul Bae*, and Seung-Bae Jeon*, “Simulation of integrated module consists of β-Ga2O3  transistor-based full wave recitifier             and triboelectric generator The 18th IEEE International Conference on Nano/Micro Engineered and Molecular Systems (IEEE NEMS 2023), Jeju, S. Korea, May 14‒17,            2023. (Poster Presentation).

[19] Duk-Hyun Choe, Hagyoul Bae, Seung Geol Nam, Taehwan Moon, Yunseong Lee, Sanghyun Jo, Sangwook Kim, Kwang-Hee Lee, and Jinseong Heo*, “Surface-

          functionalized hafnia with bespoke ferroelectric properties for memory and logic applications,” 2021 International Electron Devices Meeting (IEDM), San 

          Francisco, CA, USA, Dec. 12‒18, 2021. (Oral Presentation).

[18] Hagyoul Bae, Taehwan Moon, Seung Geol Nam, Kwang-Hee Lee, Sangwook Kim, Sangjun Hong, Duk-Hyun Choe, Sanghyun Jo, Yunseong Lee, and Jinseong Heo*, “Ferroelectric diodes with sub-ns and sub-fJ switching and its programmable network for logic-in-memory applications,” 2021 Symposia on VLSI Technology and Circuits (VLSI), Kyoto, Japan, Jun. 13‒19, 2021. (Oral Presentation) [PDF]

[17] Hagyoul Bae, Seung Geol Nam(co-1st author), Taehwan Moon, Yunseong Lee, Sanghyun Jo, Duk-Hyun Choe, Sangwook Kim, Kwang-Hee Lee, and Jinseong 

          Heo*, “Sub-ns polarization switching in 25nm FE FinFET toward post CPU and spatial-energetic mapping of traps for enhanced endurance,” 2020 International 

          Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 12‒18, 2020. (Oral Presentation) [PDF]

[16] Mengwei Si, Yangdong Luo, Wonil Chung, Hagyoul Bae, Dongqi Zheng, Junkang Li, Jingkai Qin, Gang Qiu, Shimeng Yu, and Peide D. Ye*, “A novel scalable energy-

          efficient synaptic device: crossbar ferroelectric semiconductor junction,” 2019 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 7-11, 

          2019. [PDF]

[15] Hagyoul Bae, and Peide D. Ye*, “Plasma-enhanced atomic layer deposition of copper(I) oxide for BEOL transistors,” 2019 International Electron Devices Meeting 

         (IEDM), San Francisco, CA, USA, Dec. 7‒11, 2019. (Special Poster Presentation) [PDF]

[14] Hagyoul Bae, Mengwei Si, Jinhyun Noh, Gang Qiu, Adam R. Charnas, Wonil Chung, Xiao Lyu, Sami Alghamdi, and Peide D. Ye*, “Atomic layer deposition ultrathin 

         and transparent Cu2O-based solar blind ultraviolet light photodetector with a novel copper precursor,” 2019 IEEE Semiconductor Interface Specialists Conference 

         (SISC), San Diego, CA, USA, Dec. 11‒14, 2018. (Poster Presentation) [PDF]

[13] Hagyoul Bae, Jinhyun Noh, and Peide D. Ye*, “A novel I-V characterization based on ultraviolet light for quantitative study of donor-and acceptor-like interface 

          trap density over bandgap energy in β-Ga2O3 FETs,” 2018 IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, Dec. 6‒8, 2018. (Oral 

          Presentation) [PDF]

[12] Jinhyun Noh, Hagyoul Bae, Sami Alajlouni, Kerry Maize, Marko J. Tadjer, Ali Shakouri, and Peide D. Ye*, “Comprehensive study of local electro-thermal effect in 

          β-Ga2O3 field-effect transistors,” 2019 IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, Dec. 11‒14, 2019. [PDF]

[11] Sami Alghamdi, Mengwei Si, Hagyoul Bae, Hong Zhou, and Peide D. Ye*, “Measurements of interface trap density on GaN MOS-HEMTs with epitaxial MgCaO 

          and amorphous Al2O3 gate stacks by single pulse charge Pumping,” 2019 IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, Dec. 11‒

         14, 2019. [PDF]

[10] Hong Zhou, Jinhyun Noh, Hagyoul Bae, and Peide D. Ye*, “High performance β–Ga2O3 nano-membrane,” 2019 Government Microcircuit Applications & Critical 

         Technology Conference (GOMACTech), Albuquerque, NM, USA, Mar. 25‒28, 2019.

[9] Seong-Yeon Kim, Seung-Wook Lee, Myungsoo Seo, Do-Hyun Kim, Choong-Ki Kim, Hagyoul Bae, Byung-Hyun Lee, and Yang-Kyu Choi*, “A study of hot-carrier 

       injection influenced by doping concentration in a junctionless-mode gate-all-around field effect transistor with 5-story vertically integrated nanowires,” 

       International Conference on Electronics, Information, and Communication (ICEIC), Honolulu, Hawaii, USA, Jan. 24‒27, 2018. [PDF]

[8] Hagyoul Bae, Weon-Guk Kim, Hongkeun Park, Seung-Bae Jeon, Soo-Ho Jung, Hye Moon Lee, Myung-Soo Kim, Il-Woong Tcho, Byung Chul Jang, Hwon Im, Sung-

       Yool Choi, Sung-Gap Im, and Yang-Kyu Choi*, “Energy-efficient all fiber-based local body heat mapping circuitry combining thermistor and memristor for 

       wearable healthcare device,” 2017 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 4‒6, 2017. (Oral Presentation) [PDF]

[7] Weon-Guk Kim, Daewon Kim, Seung-Bae Jeon, Sang-Jae Park, Il-Woong Tcho, Hagyoul Bae, Hwon Im, and Yang-Kyu Choi*, “A novel triboelectric nanogenerator 

       with high performance and long duration time of sinusoidal current generation,” 2017 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 

       4‒6, 2017. [PDF]

[6] Myungsoo Seo, Hagyoul Bae(co-1st author), Chang-Hoon Jeon, Byung-Hyun Lee, and Yang-Kyu Choi*, “Advanced characterization technique for the extraction 

       of intrinsic effective mobility in ultra-thin-body strained SOI MOSFETs,” IEEE SOI-3D-Subthreshold Microelectronics Unified Conference (S3S), San Francisco, CA, 

       USA, Oct. 16‒19, 2017. (Oral Presentation) [PDF]

[5] Byung-Hyun Lee, Dae Chul Ahn, Min Ho Kang, Seung-Bae Jeon, Tewook Bang, Hagyoul Bae, Jun-Young Park, Dae-Won Hong, Nam-Soo Park, and Yang-Kyu 

       Choi*, “Vertically integrated ZRAM toward extremely scaled memory,” Proceeding of ECS Meeting, Honolulu (2016). [PDF]

[4] Byung-Hyun Lee, Min-Ho Kang, Jae Hur, Dong-Il Lee, Dae-Chul Ahn, Hagyoul Bae, and Yang-Kyu Choi*, “An optimum strategy for the low voltage operation of the 

       mechanical switch,” 2015 IEEE Nano, pp. 1082‒1086, Jul. 2015 (Best paper award). [PDF]

[3] Hagyoul Bae, Sungwoo Jun, Hyunjun Choi, Chunhyung Jo, Yun Hyeok Kim, Jun Seok Hwang, Jaeyeop Ahn, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*

      “Separate extraction technique for intrinsic donor- and acceptor-like density-of-states over full-energy range sub-bandgap in amorphous oxide semiconductor 

      thin film transistors by using one-shot monochromatic photonic capacitance-voltage characteristics,” The Society of Information Display (SID), Jun. 2013. 

       (Distinguish Poster Paper) [PDF]

[2] Chunhyung Jo, Hagyoul Bae, Sungwoo Jun, Hyunjun Choi, Seonwook Hwang, Dae Hwan Kim, Dong Myong Kim, Byung-Du Ahn, Je-Hun Lee, and Junho Song*

      “Characterization of asymmetrical negative bias stress effect on the density-of-states and parasitic resistances in a-IGZO thin-film transistors,” The Society of 

      Information Display (SID), Jun. 2013. [PDF]

[1] Sunyeong Lee, Jaeman Jang, Ja Sun Shin, Hyojong Kim, Hagyoul Bae, Daeyoun Yun, Dae Hwan Kim, and Dong Myong Kim*, “A novel superlattice band-gap 

       engineered (SBE) capacitorless DRAM cell with extremely short channel length down to 30 nm,” 2010 IEEE International Memory Workshop (IMW), pp. 16‒19, 

       May 2010. [PDF]

Domestic

[40] Hongseung Lee, Jaewook Yoo, Hyeonjun Song, Soyeon Kim, Seongbin Lim, SeohyeonPark, Minah Park, Kiyoung Lee, Yoon Kyeung Lee, Keun Heo, and Hagyoul                Bae*, "Low-Freqeuncy Noise and DC I-V Characterization for Irradiation-Induced Degradation and Trap Behaviors in a-IGZO TFTs," The 31st Korean                                      Conference on Semiconductors, Jan. 2024.

[39] Seongbin Lim, Hyeonjun Song, Jaewook Yoo, Hongseung Lee, Soyeon Kim, Jo Hak Jeong, Kiyoung Lee, Hyeon-Sik Jang, Minah Park, Seohyeon Park, Keun Heo,                Jun-Yooung Park, Yoon Kyeung Lee and Hagyoul Bae*, "Quantitative Analysis based on Subgap Density-of-States (DOS) for Deuterium Annealing Effect in a-                   IGZO TFTs by TCAD and Experimental Characterization," The 31st Korean Conference on Semiconductors, Jan. 2024.

[38] Soyeon Kim, Jaewook Yoo, Hyeonjun Song, Hongseung Lee, Seongbin Lim, Minah Park, Seohyeon Park and Hagyoul Bae*, "Characterization of Bulk Trap Density           Using Fully I-V-based Optoelectronic Differential Ideality Factor in Multi-Layer MoS2 FET," The 31st Korean Conference on Semiconductors, Jan. 2024.

[37] Minah Park, Jaewook Yoo, Hyeonjun Song, Soyeon Kim, Hongseung Lee, Seongbin Lim, Seohyeon Park, Peide D. Ye, and Hagyoul Bae*, "P-Type Copper Oxide-                 based Solar-blind Ultraviolet (UV) Photodetector Capable of Low-Photocurrent Operation with Plasma-Enhanced Atomic Layer Deposition (PEALD)," The 31st            Korean Conference on Semiconductors, Jan. 2024.

[36] Minah Park, Jaewook Yoo, Hyeonjun Song, Soyeon Kim, Hongseung Lee, Seongbin Lim, Seohyeon Park, Yoon Kyeung Lee, Keun Heo, and Hagyoul Bae*, "Rapid                Thermal Annealing (RTA) to Recover the Radiation Damage of a-IGZO TFTs for Highly Reliable DRAM Cell Transistors," The 31st Korean Conference on                              Semiconductors, Jan. 2024.

[35] Seohyeon Park, Jaewook Yoo, Hyeonjun Song, Soyeon Kim, Hongseung Lee, Seongbin Lim, Minah Park, Peide D. Ye, and Hagyoul Bae*, "First Demonstration of                HZO/β-Ga2O3 Ferroelectric FinFET for High-Performance Power Devices," The 31st Korean Conference on Semiconductors, Jan. 2024.

[34] Seohyeon Park, Jaewook Yoo, Hyeonjun Song, Soyeon Kim, Hongseung Lee, Seongbin Lim, Minah Park, Peide D. Ye, and Hagyoul Bae*, "Back-End-of-Line                           Compatible Al2O3 Passivated p-Type Copper Oxide Thin Film Transistors with Enhanced Current On/Off Ratio," The 31st Korean Conference on                                             Semiconductors, Jan. 2024.

[33] Jae Wook Yoo, Ji-Man Yoo, Hong Seung Lee, HyeonJun Song, Seongbin Lim, Jo-Hak Jung, Ki-Hyun Kim, Keun Heo, Yang-Kyu Choi*, and Hagyoul Bae*, “Modeling           and characterization of contact and spreading resistances in vertical 3D silicon FET with asymmetric structure,” The 30th Korean Conference on                                             Semiconductors, Feb. 2023.

[32] Jae Wook Yoo, Hong Seung Lee, HyeonJun Song, Seongbin Lim, Jun-Young Park, Yang-Kyu Choi*, and Hagyoul Bae*, “Investigation for spatial distribution of                      oxide trap density using low-frequency noise in β-Ga2O3 FinFET ,” The 30th Korean Conference on Semiconductors, Feb. 2023.

[31] 오세인, 김현규, 윤봉노, 남은서, 배학열, 김기현*, “하이브리드 Schottky-Ohmic 후면전극을 통한 실리콘 모래시계 나노선 포토다이오드의 광검출 특성 향상 연구,”            The 30th Korean Conference on Semiconductors, Feb. 2023.

[30] Dongwoo Cha, Hagyoul Bae, and Jun-Young Park *, “A Study on Impact of Oxide Layers in Punch-Through Annealing for Low Power Applications,” The 28th 

          Korean Conference on  Semiconductors, Feb. 2021.

[29] Daehan Jung, Dae-Hwan Yun, Hagyoul Bae, and Jun-Young Park *, “Demonstration of multi-layered macaroni filler for improvement of erase efficiency in 3-D V-

          NAND,” The 28th Korean Conference on Semiconductors, Feb. 2021.

[28] Ik Kyeong Jin, Hagyoul Bae (co-1st author), Jun-Young Park, Choong-Ki Kim, Seong-Yeon Kim, Do-Hyun Kim, Yun-Ik Son, Jae-Hoon Lee, Yong-Taik Kim, Seong-

          Wan Ryu, and Yang-Kyu Choi*, “A study of radiation immunity and damage recovery in SiGe pMOSFET,” The 25th Korean Conference on Semiconductors, Feb. 

          2018 (Best Paper Award-Oral Presentation).

[27] Myungsoo Seo, Byung-Hyun Lee, Hagyoul Bae, Gun-Hee Kim, and Yang-Kyu Choi*, “Separate extraction of source and drain resistances in vertically integrated 

          junctionless nanowire field effect transistors,” The 24th Korean Conference on Semiconductors, Feb. 2017. (Best Poster Paper Award)

[26] Jaewon Kim, Heesung Lee, Hagyoul Bae, Ogyun Seok, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*, “Characterization of heterojunction interface traps 

          in AlGaN/GaN HEMTs through sub-bandgap photonic response and subthreshold ideality factor,” The 24th Korean Conference on Semiconductors, Feb. 2017.

[25] Tewook Bang, Hagyoul Bae, Choong-Ki Kim, Jun-Young Park, Dae-Chul Ahn, Gun-Hee Kim, Yun-Ik Son, Jae-Hoon Lee, Yong-Taik Kim, and Yang-Kyu Choi*

          “Improved split C-V technique for accurate extraction of mobility by considering effective inversion charges in p-channel SiGe MOSFET,” The 23th Korean 

          Conference on Semiconductors, Feb. 2016.

[24] Tewook Bang, Ui-Sik Jeong, Choong-Ki Kim, Hagyoul Bae, Gun-Hee Kim, Da-Jin Kim, and Yang-Kyu Choi*, “Low-frequency noise of extremely scaled SiNW-

          based GAA JL flash memory according to NW width,” The 23th Korean Conference on Semiconductors, Feb. 2016.

[23] Gun-Hee Kim, Hagyoul Bae, Yong-Yoon Kim, Choong-Ki Kim, Tewook Bang, Yoon-Ik Son, and Yang-Kyu Choi*, “Separate extraction of source and drain 

          resistances using double sweep saturation current-voltage characteristic in SiGe pMOSFET,” The 23th Korean Conference on Semiconductors, Feb. 2016.

[22] Hyunjun Choi, Hagyoul Bae, Jaeyeop Ahn, Jun Seok Hwang, Jungmin Lee, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*, “Capacitance-voltage 

          technique for extraction of intrinsic subgap DOS in AOS TFTs with bias-dependent channel conduction factor model,” The 21th Korean Conference on 

          Semiconductors, Feb. 2014.

[21] Jaeyeop Ahn, Hagyoul Bae, Hyunjun Choi, Jun Seok Hwang, Jungmin Lee, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*, “A novel characterization 

          technique for location of laterally distributed grain boundary in polycrystalline silicon thin-film transistors,” The 21th Korean Conference on Semiconductors, 

          Feb. 2014.

[20] Jun Seok Hwang, Hagyoul Bae, Hyunjun Choi, Jaeyeop Ahn, Jungmin Lee, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*, “A dual sweep transfer curve 

          technique for separate extraction of source and drain resistances in advanced FETs without substrate contacts,” The 21th Korean Conference on 

          Semiconductors, Feb. 2014.

[19] Jungmin Lee, Jun Seok Hwang, Jaeyeop Ahn, Hyunjun Choi, Hagyoul Bae, Sungwoo Jun, Jinsu Yoon, Sung-Jin Choi, Dae Hwan Kim, and Dong Myong Kim*

          “Characterization of interface states based on the sub-bandgap photonic subthreshold current in MOSFETs,” The 21th Korean Conference on Semiconductors, 

          Feb. 2014.

[18] Hagyoul Bae, Hyunjun Choi, Saeroonter Oh, Dae Hwan Kim, Jonguk Bae, Sungwoo Jun, Choon Hyeong Jo, Jaewook Lee, Yun Hyeok Kim, Seonwook Hwang, 

          Euiyeon Hong, Hyojoon Seo, Jun Seok Hwang, Jaeyeop Ahn, Dae Geun Kim, Dae Hwan Kim, and Dong Myong Kim*, “Monochromatic photonic capacitance-

          voltage technique for donor- and acceptor-like density-of-states over the full-energy range in amorphous TFTs,” The 20th Korean Conference on 

          Semiconductors, Feb. 2013. (Oral Presentation)

[17] Hagyoul Bae, Hyunjun Choi, Saeroonter Oh, Dae Hwan Kim, Jonguk Bae, Euiyeon Hong, Hyojoon Seo, Woojoon Kim, Inseok Hur, Jaehyeong Kim, Won Hee Lee, 

          Mihee Uhm, Dong Jae Shin, Kyung Min Lee, Hyeongjung Kim, Dae Hwan Kim, and Dong Myong Kim*, “Photonic capacitance-voltage technique for intrinsic 

          subgap-DOS considering the parasitic capacitance in amorphous oxide semiconductors,” The 20th Korean Conference on Semiconductors, Feb. 2013. (Oral 

          Presentation)

[16] Hyojoon Seo, Hagyoul Bae, Chunhyung Jo, Euiyeon Hong, Hyunjun Choi, Dae Hwan Kim, and Dong Myong Kim*, “Characterization of free electron-

          deembedded subgap density-of-states in a-IGZO TFTs,” The 20th Korean Conference on Semiconductors, Feb. 2013.

[15] Jaeman Jang, Jun Seok Hwang, Jaeyeop Ahn, Euiyeon Hong Hyojoon Seo, Hagyoul Bae, Jieun Lee, Dae Hwan Kim, and Dong Myong Kim*, “Extraction of 

          interface traps in MOS capacitor systems using differential substrate capacitance method,” The 20th Korean Conference on Semiconductors (Chip Design 

          Contest), Feb. 2013.

[14] Yun Hyeok Kim, Dae Geun Kim, Inrok Hwang, Jaeman Jang, Hagyoul Bae, Jaewook Lee, Sungwoo Jun, Choon Hyeong Jo, Hyunjun Choi, Sunwoong Choi, 

          Kyeong-Sik Min, Bae Ho Park, Dong Myong Kim, and Dae Hwan Kim*, “Simple empirical I-V model for memristive switches and its application for SPICE 

          simulation,” The 20th Korean Conference on Semiconductors, Feb. 2013.

[13] Won Hee Lee, Jin-Moo Lee, Mihee Uhm, Jieun Lee, Jung Han Lee, Hagyoul Bae, Euiyeon Hong, Seonwook Hwang, Yun Hyeok Kim, Bong Sik Choi, Byung-Gook 

          Park, Dong Myong Kim, Yong-Joo Jeong, and Dae Hwan Kim*, “Characterization of subthreshold slope degradation in CMOS-based silicon nanowire

          biosensors,” The 20th Korean Conference on Semiconductors, Feb. 2013.

[12] Seonwook Hwang, Jieun Lee, Won Hee Lee, Mihee Uhm, Bong sik Choi, Hagyoul Bae, Sewook Oh, Yejin Kim, Hyun Ho Lee, Dong Myong Kim, and Dae Hwan 

          Kim*, “Detection of a specific target DNA through the threshold voltage shift in silicon nanowire FET-based biosensor,” The 20th Korean Conference on 

          Semiconductors, Feb. 2013.

[11] Chunhyung Jo, Sungwoo Jun, Woojoon Kim, Inseok Hur, Jaeman Jang, Jaeyeong Kim, Jaewook Lee, Yun Hyeok Kim, Hagyoul Bae, Dong Jae Shin, Kyung Min

          Lee, Hyeongjung Kim, Dae Hwan Kim, and Dong Myong Kim*, “Characterization of negative bias stress instability mechanisms in amorphous InGaZnO thin film 

          transistors,” The 20th Korean Conference on Semiconductors, Feb. 2013.

[10] Hagyoul Bae, Dongsik Kong, Ja Sun Shin, Dayeon Yun, Euiyeon Hong, Hyojoon Seo, Hyunjun Choi, Jieun Lee, Hyun-Kwang Jung, Minkyung Bae, Yongsik Kim, 

          Woojoon Kim, Dae Hwan Kim, and Dong Myong Kim*, “Active layer thickness-dependent parasitic resistance effect in low frequency noise with subgap density-

          of-states in amorphous Indium-Gallium-Zinc-Oxide TFTs,” The 19th Korean Conference on Semiconductors, Feb. 2012. (Oral Presentation)

[9] Inseok Hur, Hagyoul Bae, Minkyung Bae, Yongsik Kim, Dongsik Kong, Hyunkwang Jeong, Jaeman Jang, Jaehyeong Kim, Woojoon Kim, Yun Hyeok Kim, Jaewook 

       Lee, Sungwoo Jun, Choon Hyeong Jo, Dong Myong Kim, and Dae Hwan Kim*, “Characterization of intrinsic field effect mobility in a-IGZO thin-film transistors 

       through the de-embedding the parasitic source and drain resistance effects,” The 19th Korean Conference on Semiconductors, Feb. 2012.

[8] Ja Sun Shin, Hagyoul Bae, Euiyoun Hong, Jaeman Jang, Daeyoun Yun, Hyojoon Seo, Hyunjun Choi, Dae Hwan Kim, and Dong Myong Kim*, “Separate extraction 

        technique of gate, source, drain, and substrate resistances in individual MOSFET combining I-V and C-V characteristics,” The 19th Korean Conference on 

        Semiconductors, Feb. 2012.

[7] Ja Sun Shin, Hyunjun Choi, Hagyoul Bae, Jaeman Jang, Daeyoun Yun, Euiyoun Hong, Hyojoon Seo, Dae Hwan Kim, and Dong Myong Kim*, “Si/SiGe vertical gate 

       DHBT (verDHBT)-based 1T-DRAM cell for improved retention characteristics with a large hysteresis,” The 19th Korean Conference on Semiconductors, Feb. 

       2012.

[6] Hagyoul Bae, Sungchul Kim, Minkyung Bae, Hyojoon Seo, Won Hee Lee, Mihee Uhm, Dae Hwan Kim, and Dong Myong Kim*, “Separate extraction of source and 

        drain resistances in amorphous Indium-Gallium-Zinc-Oxide thin film transistor with parallel mode C-V technique,” The 18th Korean Conference on 

        Semiconductors, Feb. 2011. (Poster)

[5] Hagyoul Bae, Jaeman Jang, Ja Sun Shin, Daeyoun Yun, Jieun Lee, Hyeri Jang, Euiyoun Hong, Dae Hwan Kim, and Dong Myong Kim*, “Modeling and separate 

        extraction of gate bias- and channel length-dependent intrinsic and extrinsic resistance elements in LDD MOSFETs,” The 18th Korean Conference on 

        Semiconductors, Feb. 2011. (Oral Presentation)

[4] Ja Sun Shin, Hagyoul Bae, Jaeman Jang, Jieun Lee, Daeyoun Yun, Hyeri Jang, Euiyoun Hong, Mihee Uhm, Won Hee Lee, Hyojoon Seo, Dae Hwan Kim, and Dong 

       Myong Kim*, “Superlattive band-gap engineered (SBE) capacitorless 1T DRAM cell with a narrow dandgap SiGe channel for high performance and extended 

       retention of holes,” The 18th Korean Conference on Semiconductors, Feb. 2011.

[3] Daeyoun Yun, Jaeman Jang, Hak Youl Bae, Ja Sun Shin, Jieun Lee, Hyeri Jang, Euiyoun Hong, Won Hee Lee, Mihee Uhm, Hyojoon Seo, Dae Hwan Kim, and Dong 

       Myong Kim*, “A study on the Hfin dependence of intrinsic gate delay in FinFET,” The 18th Korean Conference on Semiconductors, Feb. 2011.

[2] Seok Cheon Baek, Sung Wook Park, Hak Youl Bae, Jae Man Jang, Ji Eun Lee, Sun Yeong Lee, Hye Ri Jang, Hyo Jong Kim, Dae Youn Yun, Ja Sun Shin, Dae Hwan 

       Kim, and Dong Myong Kim*, “Accurate extraction of gate capacitances in leaky MOS systems using modified 3-element circuit model combining the multi-

       frequency capacitance-voltage method,” The 17th Korean Conference on Semiconductors, Feb. 2010. (SK Hynix paper award)

[1] Sunyeong. Lee, Yong Woo Jeon, Jaeman Jang, Ja Sun Sin, Hyo Jong Kim, Hak Youl Bae, Dae Youn Yun, Dae Hwan Kim, and Dong Myong Kim*, “A novel self-aligned

       4-bit SONOS type non-volatile memory cell with T-gate and I-shaped FinFET structure and low current sense amplifier,” The 17th Korean Conference on Semiconductors, Feb. 2010.