DE0-Nano_SDRAM-pins

These are the pin assignments connecting the SDRAM Controller to the chip on the board. Add these to the qsf file.

(When you auto generate the pins from the Nios symbol in the Schematics Editor they are created with these names. Make sure your pin names match the names below.)

The last line connects the clock of the SDRAM chip to a phase corrected clock. In this case shift_clk_c0_out.

( The comments in this file warn to not edit it since it is auto generated and suggests to edit certain qdf files instead. I couldn't find any of the suggested files, that's why I am using this method )

set_location_assignment PIN_P2 -to zs_addr_from_the_sdram[0]

set_location_assignment PIN_N5 -to zs_addr_from_the_sdram[1]

set_location_assignment PIN_N6 -to zs_addr_from_the_sdram[2]

set_location_assignment PIN_M8 -to zs_addr_from_the_sdram[3]

set_location_assignment PIN_P8 -to zs_addr_from_the_sdram[4]

set_location_assignment PIN_T7 -to zs_addr_from_the_sdram[5]

set_location_assignment PIN_N8 -to zs_addr_from_the_sdram[6]

set_location_assignment PIN_T6 -to zs_addr_from_the_sdram[7]

set_location_assignment PIN_R1 -to zs_addr_from_the_sdram[8]

set_location_assignment PIN_P1 -to zs_addr_from_the_sdram[9]

set_location_assignment PIN_N2 -to zs_addr_from_the_sdram[10]

set_location_assignment PIN_N1 -to zs_addr_from_the_sdram[11]

set_location_assignment PIN_L4 -to zs_addr_from_the_sdram[12]

set_location_assignment PIN_K1 -to zs_dq_to_and_from_the_sdram[15]

set_location_assignment PIN_N3 -to zs_dq_to_and_from_the_sdram[14]

set_location_assignment PIN_P3 -to zs_dq_to_and_from_the_sdram[13]

set_location_assignment PIN_R5 -to zs_dq_to_and_from_the_sdram[12]

set_location_assignment PIN_R3 -to zs_dq_to_and_from_the_sdram[11]

set_location_assignment PIN_T3 -to zs_dq_to_and_from_the_sdram[10]

set_location_assignment PIN_T2 -to zs_dq_to_and_from_the_sdram[9]

set_location_assignment PIN_T4 -to zs_dq_to_and_from_the_sdram[8]

set_location_assignment PIN_R7 -to zs_dq_to_and_from_the_sdram[7]

set_location_assignment PIN_J1 -to zs_dq_to_and_from_the_sdram[6]

set_location_assignment PIN_J2 -to zs_dq_to_and_from_the_sdram[5]

set_location_assignment PIN_K2 -to zs_dq_to_and_from_the_sdram[4]

set_location_assignment PIN_K5 -to zs_dq_to_and_from_the_sdram[3]

set_location_assignment PIN_L8 -to zs_dq_to_and_from_the_sdram[2]

set_location_assignment PIN_G1 -to zs_dq_to_and_from_the_sdram[1]

set_location_assignment PIN_G2 -to zs_dq_to_and_from_the_sdram[0]

set_location_assignment PIN_M7 -to zs_ba_from_the_sdram[0]

set_location_assignment PIN_M6 -to zs_ba_from_the_sdram[1]

set_location_assignment PIN_R6 -to zs_dqm_from_the_sdram[0]

set_location_assignment PIN_T5 -to zs_dqm_from_the_sdram[1]

set_location_assignment PIN_L1 -to zs_cas_n_from_the_sdram

set_location_assignment PIN_L7 -to zs_cke_from_the_sdram

set_location_assignment PIN_P6 -to zs_cs_n_from_the_sdram

set_location_assignment PIN_L2 -to zs_ras_n_from_the_sdram

set_location_assignment PIN_C2 -to zs_we_n_from_the_sdram

set_location_assignment PIN_R4 -to shift_clk_c0_out