ModelSimについて
1)、ModelSimの種類
ModelSimは、SystemVerilogの次の機能をサポートしていません。
programブロック
制約付きランダム生成(randomize)
カバレッジ(cover)
アサーション(SVA : SystemVerilog Assertion)
なお、ModelSimの上位版であるQuestaSimでは、すべての機能をサポートしています。
Altimaのサイトに、AE Starter/AE/PE/DE/SEの比較表がありました。
http://www.altima.jp/products/software/quartus2/OEM_Tool.html
各バージョンの速度比較は、AE Starter(9) : AE (12) : PE/DE(30) : SE(100)のようです。
a)、ModelSim PE/DE/LE/SE (MentorあるいはModelSim提供しているモノ)
Mentorから購入の必要有り (個人で購入するには費用が高いです)
また、ModelSimの上位版であるQuestaSimというものもあるがもっと高いです。
ModelSim PE (Windows)
ModelSim DE (Windows/Linux)
ModelSim LE (Linux)
ModelSim SE (Windows/Linux)
QuestaSim (Windows/Linux)
b)、ModelSim Altera Edition (AE) (Alteraが提供しているモノ)
MentorからのOEMでModelSim PEに対して機能制限有り
Starter Editionであれば、Alteraのサイトからダウンロードし、ライセンス取得の必要はありません。
ダウンロードには、Alteraのアカウントが必要です。
ModelSim Altera Edition (AE) (Windows/Linux)
最新版は、10.3d (ModelSim Altera Edition v15、2015/6リリース))です。
10.3dからSystemVerilog/Verilog HDL/VHDLの混在シミュレーションができるようになりました。
c)、ModelSim Xilinx Edtion (XE) (Xilinxが提供しているモノ)
MentorからのOEMでModelSim PEに対して機能制限有り
Starter Editionであれば、Xilinxのサイトからダウンロードし、ライセンスの取得が必要です。
ライセンスの取得には、Xilinxのアカウントが必要です。
ModelSim Xilinx Edition (XE) (Windows)
最新版は、6.5c (2010/5/3にリリースされました) です。
残念ながら、ModelSim XE は2010年12月10日以降、ダウンロードが終了します。
今後は、ISimを使うことになります。
d)、ModelSim ME (Actelを買収したMicrosemiが提供しているモノ)
MentorからのOEMでModelSim PEに対して機能制限有り
単体でのインストールではなく、開発環境Libero IDE/SoCインストールするとインストールされるようです。
ModelSim ME
最新版は、10.4b です。
2)、ModelSimの使い方
ModelSim では、3つのステップでシミュレーションを行います。
a)、workライブラリを作成する
% vlib work
b)、ソースコードをコンパイルする
% vlog ファイル名.sv
拡張子が .sv ではないファイルをコンパイルするときは、-sv オプションを指定します。
% vlog -sv ファイル名
c)、シミュレーションする
% vsim -c test_トップ階層名 -do "run -all;quit"
シミュレーション結果は、transcriptというファイルにもストアされます。