final

添付ファイル「final.sv」を実行した結果は以下の通りです。

finalのポイントは、$finish呼び出し後に呼び出されることです。

# vsim -do {run -all; quit} -c test_final

# Loading sv_std.std

# Loading work.test_final

# run -all

# initial

# ** Note: Data structure takes 1703952 bytes of memory

# Process time 0.00 seconds

# $finish : final.sv(5)

# Time: 0 ps Iteration: 0 Instance: /test_final

# support final-1

# support final-2