SV
// UVM パッケージのインクルード
`include "uvm_pkg.sv"
// top モジュール
module tb_top ;
// UVM パッケージのインポート
import uvm_pkg::* ;
// UVM マクロのインクルード
`include "uvm_macro.svh"
// initial
initial begin
#100 ;
`uvm_info ( "tb_top", "Hello World", UVM_LOW )
end
endmodule