Research

▷ Professor Soo-Hyun Kim’s current research interests and topics are focused on developments of the process technology for nanoscale thin films using atomic layer deposition (ALD) and area-selective ALD (AS-ALD), and their applications into the advanced Cu metallization, emerging interconnects technology for semiconductor devices. 

He is also focusing on the development of advanced nanomaterials using ALD for clean energy conversion, storing, and sensing. For the successful development of ALD process, suitable precursors with excellent properties such as high vapor pressure, thermal stability, high reactivity with the reactant etc. are necessary, the new ALD precursor development for high-performance ALD process is one of his research topics.

(1) Advanced BEOL (back end of the line) technologies using atomic layer deposition and area-selective ALD (AS-ALD)

The manufacturing of leading-edge logic chips can be subdivided in three separate blocks: the front-end-of-line (FEOL), the middle-of-line (MOL) and the back-end-of-line (BEOL). Among them, the BEOL, the final stage of processing, refers to the interconnects that reside in the top part of the chip. Interconnects are complex wiring schemes that distribute clock and other signals, provide power and ground and transfer electrical signals from one transistor to another. The BEOL is organized in different metal layers, local (Mx), intermediate, semi-global and global wires. The total number of layers can be as many as 15, while the typical number of Mx layers ranges between 3 and 6. Each of these layers contains (unidirectional) metal lines – organized in regular tracks – and dielectric materials. They are interconnected vertically by means of via structures that are filled with metal. Since its introduction in the mid 1990’s, copper (Cu) dual damascene in combination with low-k dielectrics (such as SiO­2, SiCOH and air gaps), has been the workhorse metal for lines and vias, in both logic and memory chip applications. The current structure of Cu interconnects consists of electroplated (EP)-Cu that is responsible for the most of the current and an underlying stack of a relatively high-resistive TaN diffusion barrier, Ta liner, and Cu seed layer for the Cu EP process, which is deposited mostly by ionized physical vapor deposition (IPVD).

Although the currently used deposition processes have been improved in terms of conformality, it is almost impossible to meet the requirements for the deposition of very thin films with high conformality. Moreover, due to the Regarding this, atomic layer deposition (ALD) is receiving a great attention for its ability to deposit highly conformal film with nanoscale thickness control. ALD is a layer-by-layer thin film deposition technique by alternating exposure of chemical species, enabling highly conformal thin films on nanoscale trenches or vias, which makes it one of the most promising thin film deposition techniques for BEOL process (Figure 1). While the ALD of high-k oxides have been already implemented in the front end of the line process such as gate dielectrics and capacitor dielectrics, ALD is not yet a standard process in any of the BEOL process. However, at sub-5 nm technology regime, ALD is expected to play an important role in BEOL process for logic and memory devices and suitable ALD processes for advanced BEOL should be investigated/developed. So, we will perform the innovative researches on advanced BEOL technologies based on ALD, which can be really applied into the fabrication of future semiconductor devices.

Selected Publications in this topic

(1) Adv. Funct. Mater. (2022), 32, 2206667. (Click)

(2) Chem. Mater. (2022), 34, 1533−1543. (Click)

(3) Chem. Mater. (2021), 33, 5639−5651. (Click)

(4) ACS Applied Materials and Interfaces (2020), 12, 14331−14340.  (Click)

(5) Chem. Mater. (2019), 31, 8338-8350.  (Click) 

(2) Advanced Nano-functional Materials Using Atomic Layered Deposition for Clean Energy Conversion, Storing, and Sensing

  Advanced nanomaterials, functionality, and their multifunctional, clean energy and sensing applications are essential in social and human development. Given the rapidly changing global climate, next-generation advanced inorganic nanomaterials in clean energy conversion (CO2, DSSC), storage (durable solid-state battery, high-performance hybrid supercapacitors), and environmental remediation technology (pollutants detection in air and soil) are the state-of-the-art requirements and demand. Mainly, electrochemical energy storage (EES) systems such as supercapacitors are environment-friendly with extraordinary practical application features, high power, long cycle life/durability, low maintenance cost, and simple geometry. Advanced supercapacitor device performance and durability are primarily governed by the selected electrode materials' physical, chemical, and electrical properties. Recently, the fascinating large 2D family of MXene fields continues to grow due to their desired optical, electrical, mechanical, electrochemical, intrinsic hydrophilicity, ease of processing, and scalability with easy control and tunability of its stoichiometry. These 2D nanomaterials intrinsically have oxides surface layers and good electrical and ionic conductivity due to the central carbide core and tunable surface termination group. A fast redox reaction from the transition metal oxide surfaces for fast-charging devices such as advanced high-power pseudocapacitors with high energy density and durability. The electrochemical process and its technologies are one of the clean and environmentally preferred. 2D advanced nanofunctional materials have unique structural and electrochemical properties at the interface. Remarkably, incorporating some of the latest advanced nanomaterials through atomic layer deposition (ALD) technique, e.g., MXene, Layered Double Hydroxide (LDH)/Metal-organic Frameworks (MOF)/Covalent Organic Framework (COF), novel carbon nanostructures, carbon nano-onions (CNO), graphene nanoplatelets (GNP), could be the game-changer for the advanced EES systems. ALD directly offers a significant benefit over the cost of the processes because it reduces the amount of precious metals (Ru, Ir, Pt, Os, Pd, Rh) used and maximizes their utilization. Moreover, ALD is an effective technique for dispersing active materials onto substrates with large surface areas, such as MXene, GNP, CNO, LDH, COF, and MOF, just performing a few ALD cycles.


 MXene's electronic and electrochemical properties are immensely affected by how these MXene materials are synthesized and processed wet chemically. Introducing a precisely controlled atomic layer deposition (ALD) technique with precise use of precious metals conformally on the MXene surface or at their edges could potentially eliminate one of the pressing face-to-face staking issues of these evergrowing MXenes 2D nanomaterials. Precious metals like Ru, Ir, Pt and Pd are quite rare and expensive; hence, precise quantity and quality control are desired for sustainable applications. These ALD-processed precious metals (Ru, Ir, Pt, Pd) could be fascinating to explore their electrochemical activity on the novel engineered MXene as host materials for hydrogen production and battery/supercapacitor applications. The fractional atomic alteration through ALD doping could significantly modify their electrochemical properties. Few % atomic doping to the unique nanostructures like CNO and GNP could act as excellent metal-free carbocatalyst for environmental remediations.

  Temperature measurement and sensing are now essential to daily life (notably during the COVID-19 pandemic) and indispensable for industrial and healthcare applications, especially at the human-machine interface. 2D nanomaterials such as graphene and the dichalcogenides family have been well investigated for sensing applications considering their high specific surface area and electrical properties. However, the fascinating 2D MXene family allows numerous possibilities to tune their compositional stoichiometry, hence the optoelectronic properties. The large surface area, intrinsic surface hydrophilicity, and water-intercalated layered structures could give a potential humidity/temperature monitoring ability. ALD is an emerging atomic-level control technique to deposit the precious or rarest noble metals (Pt, Ir, Os, Pd, Rh, and Ru) as single metal atoms or clusters or a few nanometers uniforms and high-quality thin films through their self-limiting growth and precision. The selective surface and layered modification of the MXene by ALD-empowered precious metals could enhance overall surface chemistry, electronic properties, and electron transport channels throughout its 2D layered structure.

Figure-4. Delaminated V-MXene to develop Ru-ALD Process Engineered V-MXene (Ru-ALD@V-MXene) and layered engineered Ti-MXene for real-time skin temperature sensing, flexible pressure sensor for the non-invasive vital human signs, motion, noncontact touch, breathing monitoring, and a range of other healthcare monitoring applications at the Human–Machine Interface. 

Figure-5. Ru-ALD engineered DM-V2CTX MXene microstructure and elemental mapping. A) HAADF STEM showing the presence of layered DM-V2CTX MXene structure and the distribution of Ru atoms/clusters. B,C) HR-STEM of the well-defined layered structure of DM-V2CTX MXene throughout the sample and inset (B) confirms the opening of V2CTX MXene layers after the removal of Al-layers. D) HR-STEM of both layered DM-V2CTX MXene and Ru lattices. E) Super-X EDS elemental spectra confirming the elements V, C, Ru, and F–I) their corresponding elemental mapping images. The atoms in (B) inset are shown with the same colors as illustrated in Figure 1 after the etching and delamination process. 

Selected Publications in this topic

(3) Precursor design for Atomic Layer Deposition

  Atomic layer deposition (ALD) is a process for depositing thin films from two or more vapor precursors. The surface onto which film is to be deposited is exposed to a dose of vaporized metal precursor, which allows metal precursor to react with all the reactive species on the surface; then any excess unreacted precursor vapor is pumped out (purging) to prevent gas phase reaction such as chemical vapor deposition. Next, a vapor dose of reactant (e.g. O2, H2, and etc.) or co-precursor (e.g. O2, O3, H2O, H2S, NH3 and etc.) is brought to the surface and is allowed to react. As in the first step, excess unreacted reactant or co-precursor is pumped out. This cycle of steps can be repeated to build up thicker films. ALD must be performed by self-limiting surface reaction at a temperature. “Self-limiting” happens when all the reactive species on the surface are consumed by chemical reaction with precursor or co-precursor or when surface are saturated with surface reaction product. Therefore, there happens no more surface reaction by precursor or co-precursor in “self-limiting”. The temperature range that film growth is able to be done by ALD is called “ALD window”. Out of ALD window, there are three cases such as condensation, incomplete reaction, and decomposition depending on the precursor’s own chemical & physical properties.  Condensation is due to low volatility of precursor. Because condensed metal precursors might react with co-precursor to afford the desired material (not by surface reaction or chemisorption) and are included in the film, this can’t be ALD. Incomplete reaction is related with reactivity of both precursor itself toward the surface and the surface reaction product of precursor toward co-precursor or reactant. Low reactivity of precursor results in low reaction rate and long ALD time. Incomplete reaction can’t be ALD in terms of “self-limiting”. Decomposition is due mainly to thermal stability of precursor itself and to thermal stability of the surface reaction product of precursor. The film grown in the temperature range of decomposition contains carbon from non-volatile decomposed organic ligand of organometallic precursor and has a low uniformity. By increasing volatility and reactivity of precursor, ALD temperature is able to be lowered. By increasing thermal stability of precursor, ALD temperature is able to be elevated if there is no desorption. Volatile organometallic complex compared to volatile metal halide is better candidate for ALD precursor in terms of tuning ALD temperature. By changing size of an organic ligand, volatility can be tuned. By changing the way of bonding between metal and organic ligand, reactivity and thermal stability of organometallic precursor can be tuned. According to the current increase of ALD application, a variety of ALD precursors which are useful at from low to high temperature (>350°C) is required.  When ALD should be performed at low temperature because of low thermal stability of substrate or When ALD should be performed at high temperature to obtain a targeted or desired physical & electrical properties of the thin film, it cannot be even tried  in case that there are no reported precursors available at the ALD temperature researchers want. For every metals and all temperature, all ALD precursors are not developed. Study on development of new precursor is still needed to be continued with study on ALD process to widen ALD applications and to attract interest from a variety of industries including semiconductor.