Journal Papers

2024 and beyond

J2xx. Haochen Zhang, Wei-Han Yu, Zhizhan Yang, Ka-Fai Un, Jun Yin, Rui P. Martins and Pui-In Mak, “A 90.7-nW Vibration-Based Condition Monitoring Chip Featuring a Digital Compute-in-Memory-Based DNN Accelerator Using an Ultra-Low-Power 13T-SRAM Cell,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Yuzhao Fu, Jixuan Li, Wei-Han Yu, Ka-Fai Un, Chi-Hang Chan, Yan Zhu, Rui P. Martins, and Pui-In Mak, “CLUT-CIM: A Capacitance Lookup Table-Based Analog Compute-in-Memory Macro with Signed-Channel Training and Weight Updating for Nonuniform Quantization,” IEEE Transactions on Circuits and Systems I, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Xiongjie Zhang, Anyang Zhao, Qiaobo Ma, Yang Jiang, Man-Kay Law, Rui Martins, Pui-In Mak, “A 24 V-Input 1-to-3.5 V-Output Interleaved-Inductor Multiple Step-Down Hybrid DC-DC Converter with Enhanced Power Density,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Zixuan Wang, Xin Wang, Ka-Meng Lei, Wenjing Zhang, Yunjin Yin, Tailong Xu, Zhikuang Cai, Yufeng Guo, and Pui-In Mak, "A 16-MHz Crystal Oscillator with 17.5-μs Startup Time under 104-ppm-∆F Injection Using Automatic Phase-Error Correction,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Zhizhan Yang, Jun Yin, Wei-Han Yu, Haochen Zhang, Rui P. Martins, Pui-In Mak, “A ULP Long-Range Active-RF Tag with Automatically Calibrated Antenna-TRX Interface,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Qi Zhou, Shuhao Fan, Ka-Meng Lei, Donhee Ham, Rui P. Martins and Pui-In Mak, “Miniature Magnetic Resonance Imaging System for in Situ Monitoring of Bacterial Growth and Biofilm Formation,” IEEE Transactions on Biomedical Circuits and Systems, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Zhizhan Yang, Jun Yin, Rui P. Martins, Pui-In Mak, “A Complementary Drain-Grounded VCO-PA Improving Transmit Efficiency Over a Wide EIRP Range,” IEEE Transactions on Circuits and Systems II, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Gengzhen Qi, Haonan Guo, Yunchu Li, Pui-In Mak, “A BW-Extended 4th-Order Gain-Boosted N-Path Filter Employing a Switched gm-C Network,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024. [Invited Paper of A-SSCC Special Issue] 

J2xx. Qiaobo Ma, Huihua Li, Xiongjie Zhang, Yang Jiang, Rui P. Martins, Pui-In Mak, “A Cross-Coupled Hybrid Switched-Capacitor Buck Converter with Extended Conversion Range and Enhanced DCR Loss Reduction,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024. [Invited Paper of A-SSCC Special Issue] 

J2xx. Yuzhao Fu, Wei-Han Yu, Ka-Fai Un, Chi-Hang Chan, Yan Zhu, Minglei Zhang, Rui P. Martins and Pui-In Mak, “FLEX-CIM: A Flexible Kernel Size 1-GHz 181.6-TOPS/W 25.6-TOPS/mm2 Analog Compute-in-Memory Macro,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Yi Zhan, Wei-Han Yu, Ka-Fai Un, Rui P. Martins and Pui-In Mak, “A 28-nm 18.7 TOPS/mm 2 89.4-to-234.6 TOPS/W 8b Single-Finger eDRAM Compute-in-memory Macro with Bit-wise Sparsity Aware and Kernel-wise Weight Update/Refresh,” IEEE Journal of Solid-State Circuits, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Xin Lu, Jiangchao Wu, Zhao Wang, Yifei Xiang, Liyuan Liu, Pui-In Mak, Rui P. Martins, Man-Kay Law, “A 0.013mm2 3.2ns Input Range 10-bit Cyclic Time-to-Digital Converter Using Gated Ring Oscillator with Phase Domain Reset in 65nm CMOS,” IEEE Transactions on Circuits and Systems II, vol. xx, pp. xxx–xxx, xxx. 2024.

J2xx. Cheng Dong, Fei Li, Yun Sun, Dongling Long, Chunzhao Chen, Mengyan Li, Tao Wei, Rui P Martins, Tianlan Chen and Pui-In Mak, “A syndromic diagnostic assay on macrochannel-to-digital microfluidic platform for automatic identification of multiple respiratory pathogens,” RSC Lab on a Chip, vol. xx, pp. xxx-xxx, xxx. 2024.

J2xx. W. Chen, Y. Shu, J. Yin, P.-I. Mak, X. Gao, X. Luo, “A 21.8–41.6 GHz Low Jitter and High FoMj Fast-Locking Sub-Sampling PLL with Dead Zone Automatic Controller,” IEEE Transactions on Microwave Theory and Techniques, vol. xx, pp. xxx–xxx, xxx. 2024.

J270. Io-Wa Iam, Zhaoyi Ding, Chi-Fong Ieong, Chi-Seng Lam, Rui P. Martins, Pui-In Mak, “Optimal Bivariate Control Strategy of Multi-Stage Constant Current Charging for IPT-Based Wireless Electric Vehicle Charging,” IEEE Transactions on Transportation Electrification, vol. 10, pp. 4513-4528, Jun. 2024.

J269. Feiyu Li, Qishen Fang, Jiangchao Wu, Yang Jiang, Pui-In Mak, Rui Martins, Man-Kay Law, “A 93.4% Peak Efficiency CLOAD-free Multi-Phase Switched-Capacitor DC-DC Converter Achieving a Fast DVS up to 222.5mV/ns,” IEEE Journal of Solid-State Circuits, vol. 59, pp. 1747-1758, Jun. 2024.

J268. Yutong Fan, Weihang Zhang, Zhihong Liu, Shenglei Zhao, Yang Jiang, Pui In Mak, Yue Hao, Jincheng Zhang, “Wafer-Scale GaN-Si(100) Monolithic Heterogeneous Integration Inverters With Improved Output Voltage Swing and Fast Switching Capability by Transfer Printing and Self-Aligned Etching Technology,” IEEE Transactions on Electron Devices, vol. 71, pp. 3570-3574, Jun. 2024.

J267. Jiao Zhai, Yingying Liu, Weiqing Ji, Xinru Huang, Ping Wang, Yunyi Li, Haoran Li, Ada Hang-Heng Wong, Xiong Zhou, Ping Chen, Lianhong Wang, Ning Yang, Chi Chen, Haitian Chen, Pui-In Mak, Chu-Xia Deng, Rui Martins, Mengsu Yang, Tsung-Yi Ho, Shuhong Yi, Hailong Yao, Yanwei Jia, "Drug Screening on Digital Microfluidics for Cancer Precision Medicine," Nature Communications, 15, 4363, May 2024.

J266. Xiongjie Zhang, Xinman Li, Anyang Zhao, Yang Jiang, Weihang Zhang, Jincheng Zhang, Rui Paulo Da Silva Martins, Pui-In Mak, “A 12V-to-1V Outphase-Interleaved SC Hybrid Converter with Enhanced Inductor De-Energizing Slew Rate and Adaptive Deadtime Control,” IEEE Transactions on Circuits and Systems II, vol. 71, pp. 2579-2583, May 2024.

J265. Ya Zhao, Chao Fan, Yuanxing Peng, Chenglong Liang, Jun Yin, Pui-In Mak, Li Geng, “A 12.9-to-24 GHz Dual-Mode Multi-Coil VCO Achieving 199.2 dBc/Hz Peak FoMT in 65-nm CMOS,” IEEE Transactions on Circuits and Systems II, vol. 71, pp. 2604-2608, May 2024.

J264. Hongyu Ren, Zunsong Yang, Yunbo Huang, Chaoping Feng, Tianle Chen, Xinming Zhang, Xianghe Meng, Weiwei Yan, Weidong Zhang, Tetsuya Iizuka, Yong Chen, Pui-In Mak, Zhengsheng Han, and Bo Li, “A 6-GHz 78-fsRMS Double-Sampling PLL with Low-Ripple Bootstrapped DSPD and Retimer-Less MMD Achieving −92-dBc Reference Spur and −258-dB FOM,” IEEE Microwave and Wireless Technology Letters,  vol. 34, pp. 548-551, May 2024.

J263. Chongyao Xu, Litao Zhang, Pui-In Mak, Rui P. Martins, Man-Kay Law, “Fully Symmetrical Obfuscated Interconnection and Weak-PUF-Assisted Challenge Obfuscation Strong PUFs Against Machine-Learning Modeling Attacks,” IEEE Transactions on Information Forensics and Security, vol. 19, pp. 3927-3942, May 2024.

J262. Yi Mao, Gengzhen Qi, Pui-In Mak, “Design and Analysis of a Blocker-Tolerant Gain-Boosted N-Path Receiver Using a Bottom-Plate Switched-Capacitor Technique,” IEEE Open Journal of Circuits and Systems, vol. 5, pp. 92-101, May 2024.

J261. MoonHyung Jang, Maddy Hays, Wei-Han Yu, Changuk Lee, Pietro Caragiulo, Athanasios Ramkaj, Pingyu Wang, Nick Vitale, Pulkit Tandon, Pumiao Yan, Pui-In Mak, Youngcheol Chae, E.J. Chichilnisky, Boris Murmann, and Dante G. Muratore, “A 1024-Channel 268 nW/pixel 36×36 µm2/channel Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces,” IEEE Journal of Solid-State Circuits, vol. 59, pp. 1123-1136, Apr. 2024. [Invited Paper of VLSI Special Issue] 

J260. Xiongjie Zhang, Qiaobo Ma, Anyang Zhao, Yang Jiang, Man-Kay Law, Rui Martins, Pui-In Mak, “An Outphase-Interleaved Switched-Capacitor Hybrid Buck Converter with Relieved Capacitor Inrush Current and COUT-Free Operations,” IEEE Journal of Solid-State Circuits, vol. 59, pp. 1078-1092, Apr. 2024. [Invited Paper of VLSI Special Issue]

J259. R. Shen, W. Hui, W. Wu, N. Yang, X. Lin, P.-I. Mak, R. P. Martins, A. Liu, Y. Jia, “A cost-effective and field-deployable sensing system for chip-integrated detection of bacteria with the naked eye,” Elsevier Sensors and Actuators B: Chemical, 410 (2024), 135668.

J258. Zhuoyue Zheng, Jingqian Xi, Huafeng Liu, Pan Zhang, Chun Zhao, Yuan Wang, Chen Wang, Michael Kraft, Rui Martins, Pui-in Mak, “Towards True In-situ Temperature Compensation Utilizing Multiple Parameter Decoupling for Resonant MEMS Sensors Subject to Blue Sideband Excitation,” IEEE Sensors Letters, vol. 8, pp. 14, Apr. 2024.

J257. T. S. Ho, H. Ramiah, K. K. P. Churchill, Y. Chen, P. -I. Mak and R. P. Martins, "Extended Power Dynamic Range and Enhanced Power Conversion Efficiency of a Switched-Capacitor DC-DC Converter: A Tutorial," IEEE Transactions on Circuits and Systems II, vol. 71, pp. 1606–1612, Mar. 2024.

J256. Haihua Li, Ka-Meng Lei, Rui Martins, Pui-In Mak, “A 12-/13.56-MHz Crystal Oscillator with Binary-Search-Assisted Two-Step Injection Achieving 5.0-nJ Startup Energy and 45.8-µs Startup Time,” IEEE Journal of Solid-State Circuits, vol. 59, pp. 464475, Feb. 2024.

J255. Fei Tan, We-Han Yu, Ka-Fai Un, Rui Martins, Pui-In Mak, “A 0.05-mm2 2.91-nJ/Decision Keyword-Spotting (KWS) Chip Featuring an Always-Retention 5T-SRAM in 28-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 59, pp. 626635, Feb. 2024.

J254. Hao Wu, Yong Chen, Yiyang Yuan, Jinshan Yue, Xiangqu Fu, Qirui Ren, Qing Luo, Pui-In Mak, Xinghua Wang, and Feng Zhang, “A 28-nm Computing-in-Memory-Based Super-Resolution Accelerator Incorporating Macro-Level Pipeline and Texture/Algebraic Sparsity,” IEEE Transactions on Circuits and Systems I, vol. 71, pp. 689–702, Feb. 2024.

J253. Yueduo Liu, Zihao Zhu, Rongxin Bao, Jiahui Lin, Jun Yin, Qiang Li, Pui-In Mak, Shiheng Yang, “A Compact Sub-nW/kHz Relaxation Oscillator Using a Negative-Offset Comparator with Chopping and Piecewise Charge-acceleration in 28-nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 71, pp. 515-525, Feb. 2024.

J252. C. Han, Z. Deng, Y. Shu, J. Yin, P.-I. Mak, X. Luo, “A 5.6 dB Noise Figure, 63–86 GHz Receiver Using a Wideband Noise-Cancelling Low-Noise Amplifier with Phase and Amplitude Compensation,” IEEE Transactions on Circuits and Systems I, vol. 71, pp. 120–132, Jan. 2024.

J251. Guangshu Zhao, Zhiming Xiao, Pui-In Mak, Rui P. Martins, Man-Kay Law “One-Cycle-Startup Relaxation Oscillator Using Ratiometric Threshold-Referenced and Self-Synchronized Power Gating Techniques,” IEEE Transactions on Circuits and Systems II, vol. 71, pp. 5660, Jan. 2024.

J250. Li Meng, Mingzhong Li, Zhenyu Xu, Aman Lv, Yanwei Jia, Meiwan Chen, Pui-In Mak, Rui P. Martins, Man-Kay Law, “Absolute Quantification of Nucleic Acid on Digital Microfluidics Platform Based on Superhydrophobic–Superhydrophilic Micropatterning,” Elsevier Sensors and Actuators B: Chemical, 402 (2024) 135079.

J249. Io-Wa Iam, Chio-Kuan Choi, Chi-Seng Lam, Pui-In Mak, Rui P. Martins, “A Constant-Power and Optimal-Transfer-Efficiency Wireless Inductive Power Transfer Converter for Battery Charger,” IEEE Transactions on Industrial Electronics, vol. 71, pp. 450-461, Jan. 2024.

J248. Tony Chan Carusone, Pui-In Mak, “Editorial Welcome to the New Editor-in-Chief,” IEEE Solid-State Circuits Letters, vol. 7, pp. 1, 2024.

2023

J247. Jack Kee Yong, Wen Xun Lian, Harikrishnan Ramiah, K.K. Pakkirisami Churchill, Gabriel Chong, Nai Shyan Lai, Yong Chen, Pui-In Mak, and Rui P. Martins, “A Fully Integrated CMOS Tri-band Ambient RF Energy Harvesting System for IoT Devices,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 4705-4718, Dec. 2023.

J246. Qiaobo Ma, Xiongjie Zhang, Anyang Zhao, Yang Jiang, Man-Kay Law, Makoto Takamiya, Rui P. Martins and Pui-In Mak, “A 10.5 W, 93% Efficient Dual-Path Hybrid (DPH)-Based DC-DC Converter Incorporating a Continuous-Current-Input Switched-Capacitor Stage and Enhanced IL Reduction for 12 V/24 V Inputs,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 5482-5495, Dec. 2023.

J245. Xi Meng, Haoran Li, Peng Chen, Jun Yin, Pui-In Mak, Rui P. Martins, “Analysis and Design of a 15.2-to-18.2-GHz Inverse-Class-F VCO with a Balanced Dual-Core Topology Suppressing the Flicker Noise Upconversion,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 5110-5123, Dec. 2023.

J244. Liang Wan, Mingzhong Li, Man-Kay Law, Pui-In Mak, Rui P. Martins, Yanwei Jia, "Sub-5-Minute Ultrafast PCR using Digital Microfluidics," Elsevier Biosensors and Bioelectronics, vol. 242, pp. 115711, Dec. 2023.

J243. Xiongjie Zhang, Qiaobo Ma, Anyang Zhao, Yang Jiang, Man-Kay Law, Junmin Jiang, Makoto Takamiya, Rui P. Martins, Pui-In Mak, “A 12/24 V-Input HV-LV-Separated Hybrid SC PoL Converter with 355 mW/mm³ Power Density at 3 A Load Current and 15.2 mm³ Power Passives,” IEEE Transactions on Power Electronics, vol. 39, pp. 15109-15114, Dec. 2023.

J242. Yunbo Huang, Yong Chen, Kaiyuan Yang, Paolo Crovetti, Pui-In Mak, Rui P. Martins, “A 28-nm 368-fJ/cycle, 0.43%/V Supply-Sensitivity, FLL-based RC Oscillator Featuring Positive-TC-Only Resistors and ΔΣM-Based Trimming,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 3950-3954, Nov. 2023.

J241. Jinhai Lin, Ka-Fai Un, We-Han Yu, Rui Martins, Pui-In Mak, “A 47-nW Voice Activity Detector (VAD) Featuring a Short-Time CNN Feature Extractor and an RNN-Based Classifier with a Non-Volatile CAP-ROM,” IEEE Journal of Solid-State Circuits, vol. 58, pp. 3020-3029, Nov. 2023. [Invited Paper of ISSCC Special Issue]

J240. Kishore Kumar Pakkirisami Churchill, Harikrishnan Ramiah, Alexander Choo, Gabriel Chong, Yong Chen, Pui-In Mak, Rui P. Martins, “A Reconfigurable CMOS Stack Rectifier With 22.8-dB Dynamic Range Achieving 47.91% Peak PCE for IoT/WSN Application,” IEEE Transactions on VLSI Systems, vol. 31, pp. 1619-1623, Oct. 2023.

J239. Alexander Choo, Yi Chen Lee, Harikrishnan Ramiah, Yong Chen, Pui-In Mak, Rui P. Martins, “A High-PCE Range-Extension CMOS Rectifier Employing Advanced Topology Amalgamation Technique for Ambient RF Energy Harvesting,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 3747-3751, Oct. 2023.

J238. Chengyu Che, Ka-Meng Lei, Rui P. Martins, Pui-In Mak, “A 0.4-V 8,400-µm2 Voltage Reference in 65-nm CMOS Exploiting Well-Proximity Effect,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 3822–3826, Oct. 2023.

J237. Chongyao Xu, Litao Zhang, Man-Kay Law, Xiaojin Zhao, Pui-In Mak, Rui P. Martins,” Modeling Attack Resistant Strong PUF Exploiting Stagewise Obfuscated Interconnections With Improved Reliability,” IEEE Internet of Things Journal, vol. 10, pp. 16300-16315, Sep. 2023.

J236. Yan Zeng, Shiheng Yang, Yueduo Liu, Zihao Zhu, Rongxin Bao, Jiahui Lin, Xiong Zhou, Wengang Huang, Weiqi Gao, Xin Lei, Yong Chen, Jun Yin, Pui-In Mak, Qiang Li, “A Digital Readout Integrated Circuit Based on Pixel-level ADC Incorporating On-chip Image Algorithm Calibration for IRFPA,” IEEE Sensors Journal, vol. 23, pp. 21747-21756, Sep. 2023.

J235.  Yong Jack Kee, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Gabriel Chong, Saad Mekhilef, Nai Shyan Lai, Yong Chen, Pui-In Mak, and Rui P. Martins, “A Subthreshold Operation Series-Parallel Charge Pump Incorporating Dynamic Source-Fed Oscillator for Wide-Input-Voltage Energy Harvesting Application,” IEEE Access, vol. 11, pp. 97641 -97653, Sep. 2023.

J234. Dan Shi, Ka-Meng Lei, Rui Martins, Pui-In Mak, “A 0.4-V 0.0294-mm2 Resistor-Based Temperature Sensor Achieving ±0.24 °C p2p Inaccuracy From −40 °C to 125 °C and 385 fJ·K2 Resolution FoM in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 58, pp. 2543-2553, Sep. 2023.

J233. Xuchu Mu, Guangshu Zhao, Anyang Zhao, Yang Jiang, Man-Kay Law, Makoto Takamiya, Pui-In Mak, Rui P. Martins, “Floating-Domain Integrated GaN Driver Techniques for DC-DC Converters: A Review,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 3790-3805, Sep. 2023.

J232. Li Fang Lai, Harikrishnan Ramiah, Yee-Chyan Tan, Nai Shyan Lai, Chee-Cheow Lim, Yong Chen, Pui-In Mak, and Rui P. Martins, “Design Trends and Perspectives of Digital Low Dropout Voltage Regulators for Low Voltage Mobile Applications: A Review,” IEEE Access, vol. 11, pp. 85237-85258, Aug. 2023.

J231. Alexander Choo, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Yong Chen, Saad Mekhilef, Pui-In Mak, Rui P. Martins, “A High-Performance Dual-Topology CMOS Rectifier With 19.5-dB Power Dynamic Range for RF-Based Hybrid Energy Harvesting,” IEEE Transactions on VLSI Systems, vol. 31, pp. 1253-1257, Aug. 2023.

J230. Jian Yang, Quan Pan, Jun Yin, Pui-In Mak, “A 2.0-to-7.4 GHz 16-Phase Delay-Locked Loop with a sub-0.6ps Phase-Delay Error in 40-nm CMOS,” IEEE Transactions on Microwave Theory and Techniques, vol. 71, pp. 3596-3604, Aug. 2023.

J229. Yuchen Wei, Shiheng Yang, Yueduo Liu, Rongxin Bao, Zihao Zhu, Jiahui Lin, Zehao Zhang, Yong Chen, Jun Yin, Pui-In Mak, Qiang Li, “A 0.0043-mm2 0.085-µW/MHz Relaxation Oscillator Using Charge-Prestored Asymmetric Swing R-RC Network,” IEEE Transactions on VLSI Systems, vol. 31, pp. 1248-1252, Aug. 2023.

J228. Wen Xun Lian, Harikrishnan Ramiah, Gabriel Chong, Kishore Kumar Pakkirisami Churchill, Nai Shyan Lai, Saad Mekhilef, Yong Chen, Pui-In Mak, Rui P. Martins, “A Fully-Integrated CMOS Dual-Band RF Energy Harvesting Front-End Employing Adaptive Frequency Selection,” IEEE Access, vol. 11, pp. 74121-74135, Jul. 2023. 

J227. Haihua Li, Ka-Meng Lei, Rui Martins, Pui-In Mak, “A CMOS Hall Sensors Array with Integrated Readout Circuit Resilient to Local Magnetic Interference from Current-Carrying Traces,” IEEE Sensors Journal, vol. 23, pp. 16145-16153, Jul. 2023.

J226. Lin Wang, Yong Chen, Chaowei Yang, Xiaoteng Zhao, Pui-In Mak, Franco Maloberti, Rui P. Martins, “A 10.8-to-37.4 Gb/s Reference-Less FD-Less Single-Loop Quarter-Rate Bang-Bang Clock and Data Recovery Employing Deliberate-Current-Mismatch Wide-Frequency-Acquisition Technique,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 2637-2650, Jul. 2023.

J225. Shuhao Fan, Qi Zhou, Ka-Meng Lei, Pui-In Mak, Rui Martins, “A Miniaturized 3D-MRI Scanner Featuring a HV-SOI CMOS ASIC and Achieving a 10×8×8 mm3 Field-of-View,” IEEE Journal of Solid-State Circuits, vol. 58, pp. 2028–2039, Jul. 2023.

J224. Shiheng Yang, Jun Yin, Yueduo Liu, Rongxin Bao, Zihao Zhu, Jiahui Lin, Qiang Li, Pui-In Mak, Rui P. Martins, “Ring-VCO-based Phase-Locked Loops for Clock Generation – Design Considerations and State-of-the-Art,” Elsevier Chip, 100051 (2023).

J223. Io-Wa Iam, Zhaoyi Ding, Zhicong Huang, Chi-Seng Lam, Rui P. Martins, Pui-In Mak “A Flexible Rooftop Photovoltaic-Inductive Wireless Power Transfer System for Low-Voltage DC Grid,” IEEE Access, vol. 11, pp. 51117-51132, May 2023. 

J222. Lin Wang, Yong Chen, Chaowei Yang, Xionghui Zhou, Mei Han, Crovetti Paolo Stefano, Pui-In Mak, Rui P. Martins, “A 6-to-38Gb/s capture-range bang-bang clock and data recovery circuit with deliberate-current-mismatch frequency detection and interpolation-based multiphase clock generation,” International Journal of Circuit Theory and Applications, vol. 51, pp. 1988-2015, May 2023.

J221. Yunbo Huang, Yong Chen, Bo Zhao, Pui-In Mak, Rui P. Martins, “A 3.78-GHz Type-I Sampling PLL with a Fully-Passive KPD-Doubled Master-Slave S-PD Measuring 39.6-fsRMS Jitter, -260.2-dB FOM and -70.96-dBc Reference Spur,” IEEE Transactions on Circuits and Systems I, vol. 70, pp. 1463-1475, Apr. 2023.

J220. Yunbo Huang, Yong Chen, Pui-In Mak, Rui P. Martins, “Universal Stability Criterion for Type-I Sampling Phase-Locked Loops,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 1351-1355, Apr. 2023.

J219. Liwen Lin, Ka-Meng Lei, Pui-In Mak, Rui P. Martins, “An Ultra-Low-Voltage Single-Crystal Oscillator-Timer (XO-Timer) Delivering 16-MHz and 32.258-kHz Clocks for Sub-0.5 V Energy-Harvesting BLE Radios in 28-nm CMOS,” IEEE Open Journal of Circuits and Systems, vol. 4, pp. 126-138, Apr. 2023.

J218. Chongyao Xu, Jieyun Zhang, Man-Kay Law, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, “Transfer-Path-Based Hardware-Reuse Strong PUF Achieving Modeling Attack Resilience With >200 Million Training CRPs,” IEEE Transactions on Information Forensics and Security, vol. 18, pp. 2188-2203, Mar. 2023.

J217. Teck Seong Chang, Harikrishnan Ramiah, Yang Jiang, Chee Cheow Lim, Nai Shyan Lai, Pui-In Mak, Rui P. Martins, “Design and Implementation of Hybrid DC-DC Converter: A Review,” IEEE Access, vol. 11, pp. 30498-30514, Mar. 2023.

J216. Chao Fan, Yanlong Zhao, Jun Yin, Li Geng, Pui-In Mak, “A 3.57-mW 2.88-GHz Multi-Phase Injection-Locked Ring-VCO with a 200-kHz 1/f3 Phase Noise Corner,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 865–869, Mar. 2023.

J215. Yi Chen Lee, Harikrishnan Ramiah, Alexander Choo, Kishore Kumar Pakkirisami Churchill, Nai Shyan Lai, Chee Cheow Lim, Yong Chen, Pui-In Mak, Rui P. Martins, “High-Performance Multiband Ambient RF Energy Harvesting Front-End System for Sustainable IoT Applications - A Review,” IEEE Access, vol. 11, pp. 11143-11164, Feb. 2023.

J214. Yunbo Huang, Yong Chen, Bo Zhao, Pui-In Mak, Rui P. Martins, “A 3.6-GHz Type-II Sampling PLL with a Differential Parallel-Series Double-Edge S-PD Scoring 43.1-fsRMS Jitter, -258.7-dB FOM and -75.17-dBc Reference Spur,” IEEE Transactions on VLSI Systems, vol. 31, pp. 188198, Feb. 2023.

J213. Qirui Ren, Qiang Huo, Zhisheng Chen, Qi Gao, Yiming Wang, Yiming Yang, Hao Wu, Xiangqu Fu, Xiaoxin Xu, Qing Luo, Jianfeng Gao, Chengying Chen, Xiaojin Zhao, Dengyun Lei, Xinghua Wang, Feng Zhang, Yong Chen, Pui-In Mak, “A Security-Enhanced, Charge-Pump-Free, ISO14443-A-/ISO10373-6-Compliant RFID Tag with 16.2-µW Embedded RRAM and Reconfigurable Strong PUF,” IEEE Transactions on VLSI Systems, vol. 31, pp. 243252, Feb. 2023.

J212. Zhongyu Zhao, Rujian Cao, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, “An FPGA-Based Transformer Accelerator Using Output Block Stationary Dataflow for Object Recognition Applications,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 281–285, Jan. 2023.

J211. Arvind Singh Rawat, Jagadheswaran Rajendran, Selvakumar Mariappan, Narendra Kumar, Pui-In Mak, Rui P. Martins, “A 27-dBm 0.92-GHz CMOS Power Amplifier with Mode Switching and a High-Q Compact Inductor (HQCI) Achieving a 30% Back-Off PAE,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 121–125, Jan. 2023.

J210. Zehao Zhang, Shiheng Yang, Rongxin Bao, Yueduo Liu, Zihao Zhu, Zhizhan Yang, Jiaxin Liu, Xiong Zhou, Jun Yin, Pui-In Mak, Qiang Li, “On the DC-Settling Process of the Pierce Crystal Oscillator in Start-up,” IEEE Transactions on Circuits and Systems II, vol. 70, pp. 26-30, Jan. 2023.

2022

J209. Wen Xun Lian, Jack Kee Yong, Gabriel Chong, Kishore Kumar Pakkirisami Churchill, Harikrishnan Ramiah, Yong Chen, Pui-In Mak, and Rui P. Martins. “A Reconfigurable Hybrid RF Front-End Rectifier for Dynamic PCE Enhancement of Ambient RF Energy Harvesting Systems,” Electronics, vol. 12, no. 1, p. 175, Dec. 2022.

J208. Tailong Xu, Shenke Zhong, Jun Yin, Pui-In Mak, Rui P. Martins, “A 6-to-7.5 GHz 54-fsrms Jitter Type-II Reference-Sampling PLL Featuring an Adaptive Gain-Boosting Sampling Phase Detector for In-Band Phase-Noise Reduction,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 4774-4786, Dec. 2022.

J207. Xuchu Mu, Huihua Li, Yang Jiang, Man-Kay Law, Pui-In Mak, and Rui P. Martins, “Automatic Power-Stage Partitioning Method for Reconfigurable SC DC-DC Converters with Reduced Power-Cell Redundancy,” IET Electronics Letters, vol. 58, pp. 957-962, Dec. 2022.

J206. Huihua Li, Qiaobo Ma, Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Line-transient enhancement techniques for multi-path hybrid DC–DC converter with <1% output overshoot/undershootIET Electronics Letters, vol. 58, pp. 952-956, Dec. 2022.

J205. Tian Siang Ho, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Yong Chen, Chee Cheow Lim, Nai Shyan Lai, Pui-In Mak, “Low Voltage Switched-Capacitive-Based Reconfigurable Charge Pumps for Energy Harvesting Systems: An Overview,” IEEE Access, vol. 10, pp. 126910-126930, Dec. 2022.

J204. Haijun Shao, Pui-In Mak, Gengzhen Qi, Rui P. Martins, “A 266-µW Bluetooth Low-Energy (BLE) Receiver Featuring an N-Path Passive Balun-LNA and a Pipeline Down-Mixing BB-Extraction Scheme Achieving 77dB SFDR and -3dBm OOB-B-1dB,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 3669–3680, Dec. 2022. [Invited Paper of ISSCC Special Issue]

J203. Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, “A 108-nW 0.8-mm2 Analog Voice Activity Detector (VAD) Featuring a Time-Domain CNN with Sparsity-Aware Computation and Sparsified Quantization in 28-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 3288–3297, Nov. 2022. [Invited Paper of ISSCC Special Issue]

J202. Tan Yee Chyan, Harikrishnan Ramiah, S. F. Wan Muhamad Hatta, Nai Shyan Lai, Chee-Cheow Lim, Yong Chen, Pui-In Mak, Rui P. Martins, "Evaluation and Perspective of Analog Low-Dropout Voltage Regulators: A Review," IEEE Access, vol. 10, pp. 114469-114489, Nov. 2022.

J201. Wen Xun Lian, Harikrishnan Ramiah, Gabriel Chong, Kishore Kumar Pakkirisami Churchill, Nai Shyan Lai, Yong Chen, Pui-In Mak, Rui P. Martins, “A –20-dBm Sensitivity 900-MHz RF Energy-Harvesting Front-End Using a Transformer IMN,” IEEE Transactions on VLSI Systems, vol. 30, pp. 18081812, Nov. 2022.

J200. Alexander Choo, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Yong Chen, Saad Mekhilef, Pui-In Mak, Rui P. Martins, "A Reconfigurable CMOS Rectifier with 14-dB Power Dynamic Range Achieving >36-dB/mm2 FoM for RF-Based Hybrid Energy Harvesting,” IEEE Transactions on VLSI Systems, vol. 30, pp. 1533–1537, Oct. 2022.

J199. Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Arithmetic Progression Switched-Capacitor DC-DC Converter Topology with Soft VCR Transitions and Quasi-Symmetric Two-Phase Charge Delivery,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 2919–2933, Oct. 2022. [Invited Paper of A-SSCC Special Issue]

J198. Jack Kee Yong, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Gabriel Chong, Saad Mekhilef, Yong Chen, Pui-In Mak, Rui P. Martins, “A 0.1-V VIN Subthreshold 3-Stage Cross-Coupled Charge Pump with 43.4% Peak Power Conversion Efficiency Using Advanced Dynamic Gate-Bias,” IEEE Transactions on Circuits and Systems II, vol. 69, pp. 39293933, Sep. 2022.

J197. Shuhao Fan, Qi Zhou, Ka-Meng Lei, Pui-In Mak, Rui P. Martins, “Miniaturization of Nuclear Magnetic Resonance Systems: Architecture and Design Considerations of Transceiver Integrated Circuits,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 3049–3060, Aug. 2022. [Invited Paper] [TCAS-I Highlight Paper]

J196. Jiangchao Wu, Xin Lu, Man-Kay Law, Yang Jiang, Liyuan Liu, Pui-In Mak, Rui P. Martins, “A 171 pJ/pixel·frame MS-FoM Motion Direction Detection CMOS Vision Sensor With Simultaneous Energy Harvesting Capability,” IEEE Sensors Journal, vol. 22, pp. 12808-12819, Jul. 2022.

J195. Yong Chen, Pui-In Mak, Rui P. Martins, “High-Performance Harmonic-Rich Single-Core VCO with Multi-LC Tank: A Tutorial,” IEEE Transactions on Circuits and Systems II, vol. 69, pp. 3115–3121, Jul. 2022.

J194. Mikki How-Wen Loo, Harikrishnan Ramiah, Ka-Meng Lei, Chee Cheow Lim, Nai Shyan Lai, Pui-In Mak, Rui P. Martins, “Fully-Integrated Timers for Ultra-Low-Power Internet-of-Things Nodes—Fundamentals and Design Techniques,” IEEE Access, vol. 10, pp. 65936-65950, Jun. 2022.

J193. Kishore Kumar Pakkirisami Churchill, Harikrishnan Ramiah, Gabriel Chong, Yong Chen, Pui-In Mak, Rui P. Martins, “A Fully-Integrated Ambient RF Energy-Harvesting System Outputting 423-μW Power,” MDPI Sensors, vol. 22, 4415, Jun. 2022.

J192. Man-Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, “Miniaturized Energy Harvesting Systems Using Switched-Capacitor DC-DC Converters,” IEEE Transactions on Circuits and Systems II, vol. 69, pp. 2629-2634, Jun. 2022.

J191. Yuan Liang, Chirn Chye Boon, Gengzhen Qi, Qian Chen, Dietmar Kissinger, Herman Jalli Ng, Pui-In Mak and Yong Wang, “A Low-Jitter and Low-Reference-Spur 320 GHz Signal Source With an 80 GHz Integer-Ν Phase-Locked Loop Using a Quadrature XOR Technique,” IEEE Transactions on Microwave Theory and Techniques, vol. 70, pp. 2642-2657, May 2022.

J190. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti and Rui P. Martins, “A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less FD-Less Single-Loop PAM-4 Bang-Bang CDR with a Deliberate-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 13581371, May 2022.  [Invited Paper of RFIC Special Issue] 

J189. Io-Wa Iam, Iok-U Hoi, Zhicong Huang, Cheng Gong, Chi-Seng Lam, Pui-In Mak, Rui P. Martins, “Constant-Frequency and Non-Communication-Based Inductive Power Transfer Converter for Battery Charging,” IEEE Journal of Emerging and Selected Topics in Power Electronics, vol. 10, pp. 2147-2162, Apr. 2022.

J188. Kishore K. P. Churchill, Harikrishnan Ramiah, Gabriel Chong, Mohd Yazed Bin Ahmad. Jun Yin, Pui-In Mak, Rui P. Martins, “A 0.15-V, 44.73% PCE Charge Pump with CMOS Differential Ring-VCO for Energy Harvesting Systems,”  Springer Analog Integrated Circuits and Signal Processing, 111, 35–43 (2022).

J187. Xiaoqi Lin, Jun Yin, Pui-In Mak and Rui P. Martins, “A Swing-Enhanced Class-D VCO Using a Periodically Time-Varying (PTV) Inductor,” IEEE Solid-State Circuits Letters, vol. 5, pp. 25–28, Feb. 2022.

J186. Mingzhong Li, Liang Wan, Man-Kay Law, Li Meng, Yanwei Jia, Pui-In Mak and Rui P. Martins, “One-shot high-resolution melting curve analysis for KRAS point-mutation discrimination on a digital microfluidics platform,” RSC Lab on a Chip, vol. 22, pp. 537-549, Feb. 2022.

J185. Zunsong Yang, Yong Chen, Jia Yuan, Pui-In Mak, and Rui P. Martins, “A 3.3-GHz Integer-N Type-II Sub-Sampling PLL Using a BFSK-Suppressed Push-Pull SS-PD and a Fast-Locking FLL Achieving −82.2-dBc REF Spur and −255-dB FOM,” IEEE Transactions on VLSI systems, vol. 30, pp. 238–242, Feb. 2022.

J184. Xiaoteng Zhao, Yong Chen, Pui-In Mak and Rui P. Martins, “A 0.0285-mm2 0.68-pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate FD Pulling off an 8.2-(Gb/s)/µs Acquisition Speed of PAM-4 Input in 28-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 546–561, Feb. 2022.

J183. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, “A 1.7-3.6-GHz 20-MHz-Bandwidth Channel-Selection N-Path Passive-LNA Achieving 23.5dBm OB-IIP3 and 3.4-4.8dB NF,” IEEE Journal of Solid-State Circuits, vol. 57, pp. 413–422, Feb. 2022.

J182. Yueduo Liu, Rongxin Bao, Zihao Zhu, Shiheng Yang, Xiong Zhou, Jun Yin, Pui-In Mak and Qiang Li, “Accurate Performance Evaluation of Jitter-Power FOM for Multiplying Delay-Locked Loop,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 495–505, Feb. 2022.

J181. Meiqing Liu,  Ren Shen, Haoran Li, Yanwei Jia, Pui-In Mak, Rui P. Martins, “Ratiometric fluorescence analysis for miR-141 detection with hairpin DNA-templated silver nanoclusters,” RSC Journal of Materials Chemistry C, 2022,10, 655-664.

J180. Jieyun Zhang, Chongyao Xu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak and Rui P. Martins, “A 4T/Cell Amplifier-Chain-Based XOR PUF With Strong Machine Learning Attack Resilience,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 366-377, Jan. 2022.

J179. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, “A Low-Power Multiband Blocker-Tolerant Receiver with a Steep Filtering Slope Using an N-Path LNA with Feedforward OB Blocker Cancellation and Filtering-By-Aliasing Baseband Amplifiers,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 220231, Jan. 2022

J178. Peng Chen, Jun Yin, Feifei Zhang, Pui-In Mak, Rui P. Martins, R. B. Staszewski, “Mismatch Analysis of DTCs with an Improved BIST-TDC in 28nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 196-206, Jan. 2022.

J177. Hao Guo, Yong Chen, Chaowei Yang, Pui-In Mak, Rui P. Martins, “A Millimeter-Wave Single-Core CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RLCM Tank,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 172-185, Jan. 2022.

J176. Peng Chen, Xi Meng, Jun Yin, Pui-In Mak, Rui P. Martins, R. B. Staszewski, “A 529 μW Fractional-N All-Digital PLL Using TDC Gain Auto-Calibration and an Inverse-Class-F DCO in 65-nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 69, pp. 5163, Jan. 2022.

2021

J175. Qiaobo Ma, Xiongjie Zhang, Yang Jiang, Katsuhiro Hata, Makoto Takamiya, Man-Kay Law, Pui-In Mak and Rui P. Martins, “A multi-path switched-capacitor-inductor hybrid DC-DC converter with reduced inductor loss and extended voltage conversion range,” IEICE Electronics Express, vol. 18, No. 22, pp. 1-6, Dec. 2021.

J174. Xiongjie Zhang, Qiaobo Ma, Yang Jiang, Man-Kay Law, Pui-In Mak and Rui P. Martins, “A 12V-to-1V switched-capacitor-assisted hybrid converter with dual-path charge conduction and zero-voltage switching,” IEICE Electronics Express, vol. 18, no. 22, pp. 1-5, Dec. 2021.

J173. Jiao Zhai, Caiwei Li, Haoran Li, Shuhong Yi, Ning Yang, Kai Miao, Chuxia Deng, Yanwei Jia, Pui-In Mak and Rui P. Martins, “Cancer Drug Screening with On-Chip Multi-Drug Dispenser in Digital Microfluidics,” RSC Lab on a Chip, 2021, 21, 4749–4759.

J172. Selvakumar Mariappan, Jagadheswaran Rajendran, Yong Chen, Pui-In Mak, Rui P. Martins, “A 1.7-to-2.7GHz 35-38% PAE Multiband CMOS Power Amplifier Employing a Digitally-Assisted Analog Pre-distorter (DAAPD) Reconfigurable Linearization Technique,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 3381-3385, Nov. 2021.

J171. Ning Yang, Wenhao Hui, Sizhe Dong, Xuming Zhang, Liyang Shao, Yanwei Jia, Pui-In Mak, Rui P. Martins, “Temperature Tolerance Electric Cell-Substrate Impedance Sensing (ECIS) for Joint Assessment of Cell Viability and Vitality,” ACS Sensors, 2021, 6, 10, 3640–3649.

J170. Jixuan Li, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui P. Martins, “An FPGA-Based Energy-Efficient Reconfigurable Convolutional Neural Networks Accelerator for Real-Time Object Recognition Applications,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 3143-3147 , Sept. 2021.

J169. Shiheng Yang, Jun Yin, Tailong Xu, Taimo Yi, Pui-In Mak, Qiang Li and Rui P. Martins, “A 600-µm2 Ring-VCO-Based Type-II Hybrid PLL Using a 30-μW Charge-Sharing Integrator in 28-nm CMOS,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 3108-3112, Sept. 2021.

J168. Yunbo Huang, Yong Chen, Hailong Jiao, Pui-In Mak and Rui P. Martins, “A 3.36-GHz Locking-Tuned Type-I Sampling PLL with -78.6-dBc Reference Spur Merging Single-Path Reference-Feedthrough-Suppression and Narrow-Pulse-Shielding Techniques,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 3093-3097 , Sept. 2021.

J167. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, “A 0.35-V 5,200-µm2 2.1-MHz Temperature-Resilient Relaxation Oscillator with 667fJ/cycle Energy Efficiency Using an Asymmetric Swing-Boosted RC Network and a Dual-Path Comparator,” IEEE Journal of Solid-State Circuits, vol. 56, pp. 2701-2710, Sept. 2021.

J166. Jiangchao Wu, Hou-Man Leong, Ka-Chon Lei, Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, “A Fully Integrated 10-V Pulse Driver Using Multiband Pulse-Frequency Modulation in 65-nm CMOS,” IEEE Transactions on VLSI Systems, vol. 29, pp. 1665-1669, Sept. 2021.

J165. Zhaobo Zhang, Chenchang Zhan, Man-Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, “A High-Efficiency Dual-Antenna RF Energy Harvesting System using Full-Energy Extraction with Improved Input Power Response,” IEEE Open Journal of Circuits and Systems, vol. 2, pp. 436444, Jul. 2021.

J164. Zunsong Yang, Yong Chen, Pui-In Mak, Rui P. Martins, “A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 68, pp. 2307-2316, Jun. 2021.

J163. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Pui-In Mak, R. P. Martins, “A Wide-PCE-Dynamic-Range CMOS Cross-Coupled Differential-Drive Rectifier for Ambient RF Energy Harvesting,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 1743-1747, Jun. 2021.

J162. Selvakumar Mariappan, Jagadheswaran Rajendran, Harikrishnan Ramiah, Pui-In Mak, Jun Yin, Rui P. Martins, “An 800 MHz-to-3.3 GHz 20-MHz Channel Bandwidth WPD CMOS Power Amplifier For Multiband Uplink Radio Transceivers,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 1178-1182, Apr. 2021.

J161. Rui P. Martins, Pui-In Mak, Chi-Hang Chan, Jun Yin, Yan Zhu, Yong Chen, Yan Lu, Man-Kay Law, Sai-Weng Sin, “Bird's-eye view of Analog and Mixed-Signal Chips for the 21st Century,” International Journal of Circuit Theory and Applications, vol. 49, pp. 746-761, Mar. 2021. 

J160. Lingshan Kong, Yong Chen, Haohong Yu, Chirn Chye Boon, Pui-In Mak and Rui P. Martins, “Wideband Variable-Gain Amplifiers Based on a Pseudo-Current-Steering Gain-Tuning Technique,” IEEE Access, vol. 9, pp. 35814–35823, Mar 2021.

J159. Meiqing Liu, Haoran Li, Yanwei Jia, Pui-In Mak, Rui P. Martins, “SARS-CoV-2 RNA Detection with Duplex-Specific Nuclease Signal Amplification,” MDPI Micromachines, vol. 12, 197, Feb. 2021.

J158. Xiaoteng Zhao, Yong Chen, Pui-In Mak, R. P. Martins, "A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 68, pp. 89-102, Jan. 2021.

J157. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, “Startup Time and Energy-Reduction Techniques for Crystal Oscillators in the IoT Era,” IEEE Transactions on Circuits and Systems II, vol. 68, pp. 30-35, Jan. 2021.

2020

J156. Gengzhen Qi, Haijun Shao, Pui-In Mak, Jun Yin and Rui P. Martins, “A Multiband  FDD SAW-less Transmitter for 5G-NR Using a BW-Extended N-Path Filter-Modulator, a Switched-BB Input and a Wideband TIA-Based PA Driver,” IEEE Journal of Solid-State Circuits, vol. 55, pp. 3387-3399, Dec. 2020. [Invited Paper of ISSCC Special Issue] 

J155. Zhicong Huang, Zhijian Fang, Chi-Seng Lam, Pui-In Mak, R. P. Martins, “Cost-effective Compensation Design for Output Customization and Efficiency Optimization in Series/Series-Parallel Inductive Power Transfer Converter,” IEEE Transactions on Industrial Electronics, vol. 67, pp. 10356-10365, Dec. 2020.

J154. Yunbo Huang, Yong Chen, Hao Guo, Pui-In Mak, Rui P. Martins, “A 3.3-mW 25.2-to-29.4-GHz Current-Reuse VCO Using a Single-Turn Multi-Tap Inductor and Differential-only Switched-Capacitor Arrays with a 187.6-dBc/Hz FOM,” IEEE Transactions on Circuits and Systems I, vol. 67, pp. 3704-3717, Nov. 2020.

J153. Ricardo Martins, Nuno Lourenço, Nuno Horta, Shenke Zhong, Jun Yin, Pui-In Mak, R. P. Martins, “Design of a 4.2-to-5.1 GHz Ultralow-Power Complementary Class-B/C Hybrid-Mode VCO in 65-nm CMOS Fully Supported by EDA Tools,” IEEE Transactions on Circuits and Systems I, vvol. 67, pp. 3965-3977, Nov. 2020.

J152. Pui-In Mak, “Lab-on-CMOS — an in-vitro diagnostic (IVD) tool for a healthier society,” IOP Journal of Semiconductor, 2020, 41(11), 110301.

J151. Zunsong Yang, Yong Chen, Pui-In Mak, Rui P. Martins, “A Calibration-Free, Reference-Buffer-Free, Type-I Narrow-Pulse-Sampling PLL with -78.7-dBc Reference Spur, -128.1-dBc/Hz Absolute In-Band PN and -254-dB FOM,” IEEE Solid-State Circuits Letters, vol. 3, pp. 494497, Oct. 2020.

J150. Haoran Li, Ren Shen, Cheng Dong, Tianlan Chen, Yanwei Jia, Pui-In Mak, Rui P. Martins, “Turning on/off satellite droplets ejection for flexible sample delivery on digital microfluidics,” RSC Lab on a Chip, 2020, 20, 3709 - 3719.

J149. Zhicong Huang, Chi-Seng Lam, Pui-In Mak, R. P. Martins, S.-C. Wong and C.K. Tse, “A Single-Stage Inductive-Power-Transfer Converter for Constant-Power and Maximum-Efficiency Battery Charging," IEEE Transactions on Power Electronics, vol. 35, pp. 8973-8984, Sep. 2020.

J148. Kai Xu, Jun Yin, Pui-In Mak, R. B. Staszewski, Rui P. Martins, “A Single-Pin Antenna Interface RF Front-End using a Single-MOS DCO-PA and a Push-Pull LNA,” IEEE Journal of Solid-State Circuits, vol. 55, pp. 2055-2068, Aug. 2020.

J147. Zhiyuan Chen, Man-Kay Law, Pui-In Mak, Xiaoyang Zeng, R. P. Martins, “Piezoelectric Energy Harvesting Interface using Split-Phase Flipping-Capacitor Rectifier with Capacitor-Reuse for Input Power Adaptation,” IEEE Journal of Solid-State Circuits, vol. 55, pp. 2106-2117, Aug. 2020.

J146. Ren Shen, Yanwei Jia, Pui-In Mak, and Rui P. Martins “Clip-to-Release on Amplification (CRoA): a Novel DNA Amplification Enhancer on and off Microfluidics,” RSC Lab on a Chip, 2020, 20, pp. 1928-1938.

J145. Nandini Vitee, Harikrishnan Ramiah, Pui-In Mak, Jun Yin, R. P. Martins, “A 1-V 4-mW Multiple-Feedback Differential-Folded Mixer Achieving 18.4-dB Conversion Gain, +12.5-dBm IIP3 and 8.5-dB NF,” IEEE Transactions on VLSI Systems, vol. 28, pp. 1164-1174, May 2020.

J144. Xin Lu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak and Rui P. Martins, “A 4μm Diameter SPAD Using Less-doped N-Well Guard Ring in Baseline 65nm CMOS,” IEEE Transactions on Electron Devices, vol. 67, pp. 2223-2225, May 2020.

J143. Nandini Vitee, Harikrishnan Ramiah, Pui-In Mak, Jun Yin, Rui P. Martins, “A 3.15 mW +16.0 dBm IIP3 22 dB CG Inductively Source Degenerated Balun-LNA-Mixer with Integrated Transformer-Based Gate Inductor and IM2 Injection Technique ,” IEEE Transactions on VLSI systems, vol. 28, pp. 700-713, Mar. 2020.

J142. Ka Meng Lei, Dongwan Ha, Yi-Qiao Song, Robert Westervelt, Rui Martins, Pui-In Mak, and Donhee Ham, “Portable NMR with Parallelism,” ACS Analytical Chemistry, 2020, 92, 2, 2112-2120.

J141. Jiao Zhai, Haoran Li, Ada Hang-Heng Wong, Cheng Dong, Shuhong Yi, Yanwei Jia, Pui-In Mak, Chuxia Deng, and Rui P. Martins “A Digital Microfluidic System with 3D Microstructures for Single-Cell Culture,” Microsystems & Nanoengineering (Nature Publishing Group), (2020) 6:6. 

J140. Haohong Yu, Yong Chen, Chirn Chye Boon, Pui-In Mak, R. P. Martins, “A 0.096-mm2 1-to-20-GHz Triple-Path Noise-Cancelling Common-Gate Common-Source LNA with Complementary pMOS-nMOS Configuration,” IEEE Transactions on Microwave Theory and Techniques, vol. 68, pp. 144-159, Jan. 2020.

J139. Zunsong Yang, Yong Chen, Shiheng Yang, Pui-In Mak, R. P. Martins, “A 10.6-mW 26.4-GHz Dual-Loop Type-II Phase-Locked Loop Using Dynamic Frequency Detector and Phase Detector ," IEEE Access, vol. 8, pp. 2222–2232, Jan. 2020.

J138. Ka-Fai Un, Feifei Zhang, Pui-In Mak, R. P. Martins, Anding Zhu, Robert Bogdan Staszewski “Design Considerations of the Interpolative Digital Transmitter for Quantization Noise and Replicas Rejection,” IEEE Transactions on Circuits and Systems II, vol. 67, pp. 37–41, Jan. 2020.

2019

J137. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Wong Wei Ru, Pui-In Mak, R. P. Martins, “CMOS Cross-Coupled Differential-Drive Rectifier in Subthreshold Operation for Ambient RF Energy Harvesting – Model and Analysis,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 1942-1946, Dec. 2019.

J136. Chao Fan, Wei-Han Yu, Pui-In Mak, R. P. Martins, “A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS,” IEEE Transactions on Circuits and Systems I, vol. 66, pp. 4850–4861, Dec. 2019.

J135. Yang Jiang, Man-Kay Law, Zhiyuan Chen, Pui-In Mak, R. P. Martins, “Algebraic Series-Parallel-Based Switched-Capacitor DC–DC Boost Converter With Wide Input Voltage Range and Enhanced Power Density,” IEEE Journal of Solid-State Circuits, vol. 54, pp. 3118-3134, Nov. 2019.

J134. Yong Chen, Pui-In Mak, Zunsong Yang, Chirn Chye Boon, R. P. Martins, "A 0.0071-mm2 10.8pspp-Jitter 4-to-10 Gb/s 5-Tap Current-Mode Transmitter Using a Hybrid Delay Line for Sub-1-UI Fractional De-Emphasis,” IEEE Transactions on Circuits and Systems I, vol. 66, pp. 3991-4004, Oct. 2019.

J133. Xinying Ge, Yong Chen, Xiaoteng Zhao, Pui-In Mak, R. P. Martins, “Analysis and Verification of Jitter in Bang-Bang Clock and Data Recovery Circuit with a 2nd-Order Loop Filter,” IEEE Transactions on VLSI systems, vol. 27, pp. 2223-2236, Oct. 2019.

J132. Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, Yang Jiang, Man-Kay Law, Pui-In Mak, R. P. Martins, “Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 1768-1772, Oct. 2019.

J131. Hadi Heidari, Pui-In Mak, Jens Anders and Drew Hall, “Guest Editorial Special Issue on Magnetic Sensing Systems for Biomedical Application,” IEEE Sensors Journal, vol. 20, pp. 8970, Oct. 2019.

J130. Ka-Fai Un*, Gengzhen Qi*, Jun Yin, Shiheng Yang, Shupeng Yu, Choi-In Ieong, Pui-In Mak, R. P. Martins, “A 0.12-mm2 1.2-to-2.4 mW 1.3-to-2.65 GHz Fractional-N Bang-Bang Digital PLL with 8-μs Settling Time for Multi-ISM-Band ULP Radios,” IEEE Transactions on Circuits and Systems I, vol. 66, pp. 3307–3316, Sep. 2019. [*co-first-authors]

J129. Xiaoteng Zhao, Yong Chen, Pui-In Mak, R. P. Martins, “A 0.0018-mm2 153%-Locking-Range CML-Based Divider-by-2 with Tunable Self-Resonant Frequency Using an Auxiliary Negative-gm Cell,” IEEE Transactions on Circuits and Systems I, vol. 66, pp. 3330-3339, Sep. 2019.

J128. Jiao Zhai, Shuhong Yi, Yanwei Jia, Pui-In Mak, Rui P. Martins, “Cell-based Drug Screening on Microfluidics,” Elsevier Trends in Analytical Chemistry, 117 (2019) 231-241.

J127. Yong Chen, Zunsong Yang, Xiaoteng Zhao, Yunbo Huang, Pui-In Mak, R. P. Martins, “A 6.5x7 µm2 0.98-to-1.5 mW Non-Self-Oscillation-Mode Frequency Divider-by-2 Achieving a Single-Band Untuned Locking Range of 166.6% (4 to 44 GHz),” IEEE Solid-State Circuits Letters, vol.  vol. 2, pp. 37-40, May 2019.

J126. Zechariah Balan, Harikrishnan Ramiah, Jagadheswaran Rajendran, Nandini Vitee, Pravinah Nair Shasidharan, Jun Yin, Pui-In Mak, R. P. Martins “A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low Energy/ZigBee Receiver Consuming 2mA,” Elsevier the VLSI Journal - Integration, vol. 66, pp. 112-118, May 2019.

J125. Shiheng Yang, Jun Yin, Haidong Yi, Wei-Han Yu, Pui-In Mak, R. P. Martins, “A 0.2-V Energy-Harvesting BLE Transmitter with a Micropower Manager Achieving 25% System Efficiency at 0-dBm Output and 5.2-nW Sleep Power in 28nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 54, pp. 1351-1362, May 2019.

J124. Antoine Dupré, Ka-Meng Lei, Pui-In Mak, Rui P. Martins, Weng Kung Peng, “Micro- and Nanofabrication NMR Technologies for Point-Of-Care Medical Applications – A Review,” Elsevier Journal of Microelectronic Engineering, 209 (2019) 66–74.

J123. Mingzhong Li, Cheng Dong, Man-Kay Law, Yanwei Jia, Pui-In Mak and Rui P. Martins, “ Hydrodynamic-flow-enhanced rapid mixer for isothermal DNA hybridization kinetics analysis on digital microfluidics platform,” Elsevier Sensors and Actuators B: Chemical, vol. 287, pp. 390-397, May 2019. 

J122. Iat-Fai Sun, Jun Yin, Pui-In Mak, R. P. Martins, “A Comparative Study of 8-Phase Feedforward-Coupling Ring VCOs,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 527–531, Apr. 2019.

J121. Bing Li, Ji-Ping Na, Wei Wang, Jia Liu, Qian Yang, Pui-In Mak, “A 13-bit 8-kS/s ΔΣ Readout IC Using the ZCB Integrators with an Embedded Resistive Sensor Achieving 1.05-pJ/conversion-step and 65-dB PSRR,” IEEE Transactions on VLSI systems, vol. 27, pp. 843–853, Apr. 2019.

J120. Chee Cheow Lim, Harikrishnan Ramiah, Jun Yin, Narendra Kumar, Pui-In Mak and R. P. Martins, “A 5.1-to-7.3 mW, 2.4-to-5 GHz Class-C Mode-Switching Single-Ended-Complementary VCO Achieving >190 dBc/Hz FoM,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 237-241, Feb. 2019

J119. Tongquan Jiang , Jun Yin, Pui-In Mak and R. P. Martins, “A 0.5-V 0.4-to-1.6-GHz 8-Phase Bootstrap Ring-VCO Using Inherent Non-Overlapping Clocks Achieving a 162.2-dBc/Hz FoM,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 157-161, Feb. 2019.

J118. Shiheng Yang, Jun Yin, Pui-In Mak, R. P. Martins, “A 0.0056-mm2 −249-dB-FOM All-Digital MDLL Using a Block-Sharing Offset-Free Frequency-Tracking Loop and Dual Multiplexed-Ring-VCOs,” IEEE Journal of Solid-State Circuits, vol. 54, pp. 88-98, Jan. 2019. [Invited Paper of ISSCC Special Issue]

J117. Liang Wan, Jie Gao, Tianlan Chen, Cheng Dong, Haoran Li, Yan-Zi Wen, Zhao-Rong Lun, Yanwei Jia, Pui-In Mak, and Rui P. Martins, “LampPort - a handheld digital microfluidic device for loop-mediated isothermal amplification (LAMP),” Springer Biomedical Microdevices, (2019) 21:9.

Demo Videos: https://link.springer.com/article/10.1007%2Fs10544-018-0354-9 

J116. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui-In Mak, Rui P. Martins “Many-Objective Sizing Optimization of a Class-C/D VCO for Ultra-Low-Power IoT and Ultra-Low-Phase-Noise Cellular Applications,” IEEE Transactions on VLSI Systems, vol. 27, pp. 69-82, Jan. 2019.

J115. Haohong Yu, Yong Chen, Chirn Chye Boon, Chenyang Li, Pui-In Mak and R. P. Martins, “A 0.044-mm2 0.5-to-7-GHz Resistor-Plus-Source-Follower-Feedback Noise-Cancelling LNA Achieving a Flat NF of 3.3±0.45 dB,” IEEE Transactions on Circuits and Systems II, vol. 66, pp. 71-75, Jan. 2019.

2018

J114. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui-In Mak, R. P. Martins, “An Inverse-Class-F CMOS Oscillator with Intrinsic-High-Q 1st-Harmonic and 2nd-Harmonic Resonances,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 3528-3539, Dec. 2018. [Invited Paper of ISSCC Special Issue]

J113. Yang Jiang, Man-Kay Law, Pui-In Mak, R. P. Martins, “Algorithmic Voltage-Feed-In Topology for Fully Integrated Fine-Grained Rational Buck-Boost Switched-Capacitor DC-DC Converters,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 3455-3469, Dec. 2018. [Invited Paper of ISSCC Special Issue]

J112. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Wong Wei Ru, Pui-In Mak, Rui P. Martins, “Ambient RF Energy Harvesting System: A Review on Integrated Circuit Design,” Springer Analog Integrated Circuits and Signal Processing, vol. 97, pp. 515-531, Dec. 2018. 

J111. Yatao Peng, Jun Yin, Pui-In Mak, R. P. Martins, “Low-Phase-Noise Wideband Mode-Switching Quad-Core-Coupled mm-Wave VCO using a Single-Center-Tapped Switched Inductor,” IEEE Journal of Solid-State Circuits, vol. 53, no. 11, pp. 3232-3242, Nov. 2018.

J110. Dapeng Sun, Tan-Tan Zhang, Man-Kay Law, Pui-In Mak, and Rui P. Martins, “Process compensated BJT-based CMOS temperature sensor with a ±1.5C (3s) batch-to-batch inaccuracy,” IET Electronics Letters, vol. 54, pp. 1270-1272, Nov. 2018.

J109. Lingshan Kong,Yong Chen, Chirn Chye Boon, Pui-In Mak and R. P. Martins, “A Wideband Inductorless dB-Linear Automatic-Gain Control Amplifier Using a Single-Branch Negative Exponential Generator for Wireline Applications,” IEEE Transactions on Circuits and Systems I, vol. 65, pp. 3196-3206, Oct. 2018.

J108. Ka-Meng Lei, Pui-In Mak, Man-Kay Law, R. P. Martins, “A Regulation-Free Sub-0.5 V 16/24-MHz Crystal Oscillator with 14.2-nJ Startup Energy and 31.8-µW Steady-State Power,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 2624-2635 , Sept. 2018.

J107. Xingqiang Peng, Jun Yin, Wei-Han Yu, Pui-In Mak, and R. P. Martins, “A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low-Energy Transmitter with 34.7% Peak System Efficiency,” IEEE Transactions on Circuits and Systems II, vol. 65, pp. 1174-1178 , Sept. 2018.

J106. Yong Chen, Pui-In Mak, Chirn Chye Boon, and R. P. Martins, “A 36-Gb/s 1.3-mW/Gb/s Duobinary-Signal Transmitter Exploiting Power-Efficient Cross-Quadrature Clocking Multiplexers with Maximized Timing Margin,” IEEE Transactions on Circuits and Systems I, vol. 65, pp. 3014-3026, Sept. 2018.

J105. Chak-Fong Cheang, Pui-In Mak and R. P. Martins, “A Hardware-Efficient Feedback Polynomial Topology for DPD Linearization of Power Amplifiers: Theory and FPGA Validation,” IEEE Transactions on Circuits and Systems I, vol. 65, pp. 2889-2902, Sept. 2018 

J104. Changzhi Li, Ka-Fai Un, Pui-In Mak, Ying Chen, José María Muñoz Ferreras, Zhi Yang, Roberto Gómez-García, “Overview of Recent Development on Wireless Sensing Circuits and Systems for Healthcare and Biomedical Applications,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 8, pp. 165–167, Jun. 2018.

J103. Changzhi Li, Pui-In Mak, Roberto Gómez-García, Ying Chen “Guest Editorial Wireless Sensing Circuits and Systems for Healthcare and Biomedical Applications,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 8, pp. 161–164, Jun. 2018.

J102. Haidong Yi, Wei-Han Yu, Pui-In Mak, Jun Yin, R. P. Martins, “A 0.18V 382µW Bluetooth Low-Energy (BLE) Receiver Front-End with 1.33nW Sleep Power for Energy-Harvesting Applications in 28nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 1618-1627, Jun. 2018.

J101. Gengzhen Qi, Barend van Liempd, Pui-In Mak, Rui P. Martins, Jan Craninckx, “A SAW-Less Tunable RF Front-End for FDD and IBFD Combining an Electrical-Balance Duplexer and a Switched-LC N-Path LNA,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 1431-1442, May 2018.

J100. Hao Guo, Yong Chen, Pui-In Mak, R. P. Martins, “A 0.083-mm2 25.2-to-29.5 GHz Multi-LC-Tank Class-F234 VCO with a 189.6-dBc/Hz FOM,” IEEE Solid-State Circuits Letters, vol. 1, pp. 86-89, Apr. 2018.

J099. Tan-Tan Zhang, Man-Kay Law, Pui-In Mak, Mang-I Vai, and R. P. Martins, “Nano-Watt Class Energy-Efficient Capacitive Sensor Interface With On-Chip Temperature Drift Compensation,” IEEE Sensors Journal, vol. 18, pp. 2870-2882, Apr. 2018.

J098. Haidong Yi, Jun Yin, Pui-In Mak, and R. P. Martins, “A 0.032-mm2 0.15-V 3-Stage Charge-Pump Scheme Using a Differential Bootstrapped Ring-VCO for Energy-Harvesting Applications,” IEEE Transactions on Circuits and Systems II, vol. 65, pp. 146-150, Feb. 2018.

J097. Wei-Han Yu, Ka-Fai Un, Pui-In Mak, R. P. Martins, “A 0.7-to-2.5 GHz, 61% EIRP System Efficiency, 4-Element MIMO TX System Exploiting Integrated Power-Relaxed Power Amplifiers and an Analog Spatial De-Interleaver,” IEEE Transactions on Circuits and Systems I, vol. 65, pp. 14-25, Jan. 2018.

J096. Keith A. Bowman, Muhammad M. Khellah, Takashi Kono, Joseph Shor, Pui-In Mak, “Introduction to the January Special Issue on the 2017 IEEE International Solid-State Circuits Conference,” IEEE Journal of Solid-State Circuits, vol. 53, pp. 3-7, Jan. 2018.

2017

J095. Yong Chen, Pui-In Mak, Haohong Yu, Chirn Chye Boon and R. P. Martins, “An Area-Efficient and Tunable Bandwidth-Extension Technique for a Wideband CMOS Amplifier Handling 50+ Gb/s Signaling,” IEEE Transactions on Microwave Theory and Techniques, vol. 65, pp. 4960-4975, Dec. 2017.

J094. Zhiyuan Chen, Man-Kay Law, Pui-In Mak, Wing-Hung Ki, R. P. Martins, “Fully-Integrated Inductor-less Flipping-Capacitor Rectifier (FCR) for Piezoelectric Energy Harvesting,” IEEE Journal of Solid-State Circuits, vol. 52, pp. 3168-3180, Dec. 2017. [Invited Paper of ISSCC Special Issue]

J093. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Ada Hang-Heng Wong, Yanwei Jia, Pui-In Mak, Chu-Xia Deng and R. P. Martins, “A digital microfluidic system for loop-mediated isothermal amplification and sequence specific pathogen detection,” Scientific Reports (Nature Publishing Group), Nov. 2017.

Demo Videos: https://www.nature.com/articles/s41598-017-14698-x

J092. Wei-Han Yu, Xingqiang Peng, Pui-In Mak, R. P. Martins, “A High-Voltage-Enabled Class-D Polar PA Using Interactive AM-AM Modulation, Dynamic Matching, and Power-Gating for Average PAE Enhancement,” IEEE Transactions on Circuits and Systems I, vol. 64, pp. 2844-2857, Nov. 2017.

J091. Yong Chen, Pui-In Mak, Chirn Chye Boon and R. P. Martins, “A 27-Gb/s Time-Interleaved Duobinary Transmitter Achieving 1.44-mW/Gb/s FOM in 65-nm CMOS,” IEEE Microwave and Wireless Components Letters, vol. 9, pp. 839-841, Sep. 2017.

J090. Ada Hang-Heng Wong, Haoran Li, Yanwei Jia, Pui-In Mak, R. P. Martins, Yan Liu, Chi Man Vong, Hang Cheong Wong, Pak Kin Wong, Haitao Wang, Heng Sun, Chu-Xia Deng, “Drug Screening of Cancer Cell Lines and Human Primary Tumors Using Droplet Microfluidics,” Scientific Reports (Nature Publishing Group), Aug. 2017.

Demo Videos: https://www.nature.com/articles/s41598-017-08831-z  

J089. Gengzhen Qi, Pui-In Mak, R. P. Martins, “A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop,” IEEE Journal of Solid-State Circuits, vol. 52, pp. 2055–2070, Aug. 2017.

J088. Hoi Kei Chan, Pui-In Mak, and Shirley W. I. Siu, “Exploring the Behavior of Water Nanodroplet on a Coplanar Electrowetting-on-Dielectric: A Molecular Dynamics Approach,” IET Micro & Nano Letters, vol. 12, pp. 486-489, Jul. 2017.

J087. Xingqiang Peng, Jun Yin, Pui-In Mak, Wei-Han Yu and R. P. Martins, “A 2.4-GHz ZigBee Transmitter Using a Function-Reuse Class-F DCO-PA and an ADPLL Achieving 22.6% (14.5%) System Efficiency at 6-dBm (0-dBm) Pout,” IEEE Journal of Solid-State Circuits, vol. 52, pp. 1495- 1508, Jun. 2017.

J086. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui-In Mak, and R. P. Martins, “LC-VCOs Using Spiral Inductors with Single- and Dual-Layer Patterned Floating Shields - A Comparative Study,” Springer Analog Integrated Circuits and Signal Processing, vol. 91, pp. 497-502, May 2017.

J085. Gim Heng Tan, Harikrishnan Ramiah, Pui-In Mak and R. P. Martins, “A 0.35-V 520-µW 2.4-GHz Current-Bleeding Mixer with Inductive-Gate and Forward-Body Bias, Achieving >13-dB Conversion Gain and >55-dB Port-to-Port Isolation,” IEEE Transactions on Microwave Theory and Techniques, vol. 65, pp. 1284-1293, Apr. 2017.

J084. Amin Khalili Moghaddam, Joon Huang Chuah, Harikrishnan Ramiah, Jalil Ahmadian, Pui-In Mak and R. P. Martins, “A 73.9%-Efficiency CMOS Rectifier Using a Lower DC Feeding (LDCF) Self-Body-Biasing Technique for Far-Field RF Energy-Harvesting systems,” IEEE Transactions on Circuits and Systems I, vol. 64, pp. 992-1002, Apr. 2017.

J083. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui-In Mak, Mang I Vai, and Rui P. Martins, “A 0.45-V 147-to-375 nW ECG Compression Processor with Wavelet Shrinkage and Adaptive Temporal Decimation Architectures,” IEEE Transactions on VLSI Systems, vol. 25, 1307-1319, Apr. 2017. 

J082. Cheng Dong, Yanwei Jia, Jie Gao, Tianlan Chen, Pui-In Mak, Mang-I Vai, and R. P. Martins, “3D Microblade Structure for Precise and Parallel Droplet Splitting on Digital Microfluidic Chips,” RSC Lab on a Chip, 2017,17, 896-904.

Demo Videos: http://www.rsc.org/suppdata/c6/lc/c6lc01539e/ 

J081. Chang-Hao Chen, Elizabeth A. McCullagh, Sio-Hang Pun, Peng-Un Mak, Mang-I Vai, Pui-In Mak, Achim Klug and Tim C. Lei, “An Integrated Circuit for Simultaneous Extracellular Electrophysiology Recording and Optogenetic Neural Manipulation,” IEEE Transactions on Biomedical Engineering, vol. 64, pp. 557-568, Mar. 2017.

J080. Zhiyuan Chen, Man-Kay Law, Pui-In Mak and R. P. Martins, “A Single-Chip Solar Energy Harvesting IC using Integrated Photodiodes for Biomedical Implant Applications,” IEEE Transactions on Biomedical Circuits and Systems, vol. 11, pp. 44-53, Jan. 2017.

J079. Ka-Meng Lei, Hadi Heidari, Pui-In Mak, Man-Kay Law, F. Maloberti and R. P. Martins, “A Handheld High-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays,” IEEE Journal of Solid-State Circuits, vol. 52, pp. 284-297, Jan. 2017. [Invited Paper of ISSCC Special Issue]

2016

J078. Jun Yin, Pui-In Mak, F. Maloberti and R. P. Martins, “A Time-Interleaved Ring-VCO with Reduced 1/f3 Phase Noise Corner, Extended Tuning Range and Inherent Divided Output,” IEEE Journal of Solid-State Circuits, vol. 51, pp. 2979-2991, Dec. 2016. [Invited Paper of ISSCC Special Issue]

J077. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and R. P. Martins, “A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Integration with a Digital Microfluidic Device inside a Portable Magnet,” IEEE Journal of Solid-State Circuits, vol. 51, pp. 2274-2286, Oct. 2016. [Invited Paper of A-SSCC Special Issue]

J076. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and R. P. Martins, “CMOS Biosensors for In Vitro Diagnosis - Transducing Mechanisms and Applications,” RSC Lab on a Chip, 2016, 16, 3664-3681.

J075. Jiujiang Wang, Sio-Hang Pun, Peng-Un Mak, Ching-Hsiang Cheng, Yuanyu Yu, Pui-In Mak and Mang-I Vai, “Improved Analytical Modeling of Membrane Large Deflection with Lateral Force for the Underwater CMUT Based on Von Kármán Equations,” IEEE Sensors Journal, vol. 16, pp. 6633-6640, Sept. 2016.

J074. Jimmy C. F. Ngai, Pui-In Mak, and Shirley W. I. Siu, “ProtPOS: a python package for the prediction of protein preferred orientation on a surface,” Oxford University Press - Bioinformatics, 32 (16): 2537-2538, Aug. 2016.

Software Tool: http://cbbio.cis.umac.mo/software/protpos/

Supplementary: http://bioinformatics.oxfordjournals.org/content/suppl/2016/04/10/btw182.DC1/material.pdf 

J073. Yuanyu Yu, Sio-Hang Pun, Peng-Un Mak, Ching-Hsiang Cheng, Jiujiang Wang, Pui-In Mak and Mang-I Vai, “Design of a Collapse-Mode CMUT with an Embossed Membrane for Improving Output Pressure,” IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, vol. 63, pp. 854-863, Jun. 2016.

J072. Yue Li, Chak-Fong Cheang, Pui-In Mak and R. P. Martins, “Joint-Digital-Predistortion for Wireless Transmitter's I/Q Imbalance and PA Nonlinearities Using an Asymmetrical Complexity-Reduced Volterra Series Model,” Springer Analog Integrated Circuits and Signal Processing, vol. 87, pp. 35-47, Apr. 2016.

J071. Jiangchao Wu, Man-Kay Law, Pui-In Mak and Rui P. Martins, “A 2 µW 45 nV/√Hz Readout Frontend With Multiple Chopping, Active-High-Pass Ripple Reduction Loop and Pseudo-Feedback DC Servo Loop,” IEEE Transactions on Circuits and Systems II, vol. 63, pp. 351-355, Apr. 2016.

J070. Man-Kay Law, Sanfeng Lu, Tao Wu, Amine Bermak, Pui-In Mak and R. P. Martins, “A 1.1 µW CMOS Smart Temperature Sensor with an Inaccuracy of ±0.2ºC (3σ) for Clinical Temperature Monitoring,” IEEE Sensors Journal, vol. 16, no. 8, 2272-2281, Apr. 2016.

J069. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Pui-In Mak, and R. P. Martins, “Sub-7-Second Genotyping of Single-Nucleotide Polymorphism by High-Resolution Melting Curve Analysis on a Thermal Digital Microfluidic Device,” RSC Lab on a Chip, 2016, 16, 743-752.

Demo Videos: http://www.rsc.org/suppdata/c5/lc/c5lc01533b/ 

J068. Yue Li, Chak-Fong Cheang, Pui-In Mak and R. P. Martins, “The Dispersal Analysis on Basis Construction of Digital Predistortion Techniques for Power Amplifiers,” Springer Analog Integrated Circuits and Signal Processing, vol. 86, pp. 77-88, Jan. 2016.

J067. U. R. J. Eswaran, Harikrishnan Ramiah, Pui-In Mak and R. P. Martins, “A 2-μm InGaP/GaAs Class-J Power Amplifier for Multi-band LTE Achieving 35.8-dB Gain, 40.5%-to-55.8% PAE and 28-dBm Linear Output Power,” IEEE Transactions on Microwave Theory and Techniques, vol. 64, pp. 200-209, Jan. 2016.

J066. Suyan Fan, Man-Kay Law, Mingzhong Li, Zhiyuan Chen, Chio-In Ieong, Pui-In Mak and Rui P. Martins, “Wide Input Range Supply Voltage Tolerant Capacitive Sensor Readout Using On-Chip Solar Cell,” World Scientific Journal of Circuits, Systems, and Computers, Vol. 25, No. 1, pp. 1640006-1 to 12, 2016. [Invited Paper]

2015

J065. Ming-Zhong Li, Chio-In Ieong, Man Kay Law, Pui-In Mak, Mang-I Vai, Sio-Hang Pun, R. P. Martins, “Energy Optimized Sub-threshold VLSI Logic Family with Unbalanced Pull-up/down Network and Inverse-Narrow-Width Techniques,” IEEE Transactions on VLSI Systems, vol. 23, pp. 3119-3123, Dec. 2015.

J064. Yaohua Zhao, Pui-In Mak, Man Kay Law, R. P. Martins, “Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area,” IEEE Transactions on VLSI Systems, vol. 23, pp. 3104-3108, Dec. 2015.

J063. Ka-Fai Un, Wei-Han Yu, Chak-Fong Cheang, Gengzhen Qi, Pui-In Mak, R. P. Martins, “A Sub-GHz Wireless Transmitter Utilizing a Multi-Class- Linearized PA and Time-Domain Wideband-Auto I/Q-LOFT Calibration for IEEE 802.11af WLAN,” IEEE Transactions on Microwave Theory and Techniques, vol. 63, pp. 3228-3241, Oct. 2015.

J062. Zushu Yan, Pui-In Mak, Man-Kay Law, R. P. Martins and F. Maloberti, “Nested-Current-Mirror Rail-to-Rail-Output Single-Stage Amplifier with Enhancements of DC Gain, GBW and Slew Rate,” IEEE Journal of Solid-State Circuits, vol. 50, pp. 2353-2366, Oct. 2015.

J061. Yaohua Zhao, Pui-In Mak and R. P. Martins and F. Maloberti, “A 0.02-mm2 59.2-dB SFDR 4th-Order SC LPF with 0.5-to-10 MHz Bandwidth Scalability Exploiting a Recycling SC-Buffer Biquad,” IEEE Journal of Solid-State Circuits, vol. 50, pp. 1988-2001, Sep. 2015.

J060. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and R. P. Martins, “A Palm-Size µNMR Relaxometer Using a Digital Microfluidic Device and a Semiconductor Transceiver for Chemical/Biological Diagnosis,” RSC Analyst, 2015, 140, 5129-5137. 

Demo Videos: http://www.rsc.org/suppdata/c5/an/c5an00500k/ 

J059. Md.Tawfiq Amin, Jun Yin, Pui-In Mak and R. P. Martins, “A 0.07-mm2 2.2-mW 10-GHz Current-Reuse Class-B/C Hybrid VCO Achieving 196-dBc/Hz FoMA,” IEEE Microwave and Wireless Components Letters, vol. 25, pp. 457-459, Jul. 2015.

J058. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui-In Mak, Mang-I Vai and R. P. Martins, “Adhesion Promoter for Multi-dielectric-layer on Digital Microfluidic Chip,” RSC Advances, 2015, 5, 48626-48630.

Demo Videos: http://www.rsc.org/suppdata/c5/ra/c5ra08202a/c5ra08202a1.mp4

J057. Yong Chen, Pui-In Mak and Yan Wang, “A Highly-Scalable Analog Equalizer Using a Tunable and Current-Reusable Active Inductor for 10-Gb/s I/O Links,” IEEE Transactions on VLSI Systems, vol. 23, pp. 978-982, May 2015.

J056. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui-In Mak, Mang-I Vai and R. P. Martins, “On the Droplet Velocity and Electrode Lifetime of Digital Microfluidics: Voltage Actuation Techniques and Comparison,” Springer Microfluidics and Nanofluidics, Vol. 18, pp 673-683, Apr. 2015.

Demo Videos: http://link.springer.com/article/10.1007%2Fs10404-014-1467-y

J055. Chak-Fong Cheang, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and R. P. Martins, “A Combinatorial Impairment-Compensation Digital Predistorter for a Sub-GHz IEEE 802.11af-WLAN CMOS Transmitter Covering a 10x-Wide RF Bandwidth,” IEEE Transactions on Circuits and Systems I, vol. 62, pp. 1025-1032, Apr. 2015.

J054. Zushu Yan, Wei Wang, Pui-In Mak, Man-Kay Law, R. P. Martins, “A 0.0045-mm2 32.4-µW Two-Stage Amplifier for pF-to-nF Load Using CM Frequency Compensation,” IEEE Transactions on Circuits and Systems II, vol. 62, pp. 246-250, Mar. 2015.

J053. Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “A 0.0045mm2 15.8μW Three-Stage Amplifier Driving 10x-Wide (0.15 to 1.5nF) Capacitive Loads with >50° Phase Margin,” IET Electronics Letters, vol. 51, pp. 454-456, Mar. 2015.

J052. Fujian Lin, Pui-In Mak and R. P. Martins, “Wideband Receivers: Design Challenges, Tradeoffs and State-of-the-Art,” IEEE Circuits and Systems Magazine, vol. 15, Issue 1, pp. 12-24, Jan.-Mar. 2015.

J051. Md.Tawfiq Amin, Pui-In Mak, and R. P. Martins, “A 3.6mW 6GHz Current-Reuse VCO-Buffer with Improved Load Drivability in 65nm CMOS,” Wiley International Journal of Circuit Theory and Applications, vol. 43, pp. 133–138, Jan. 2015.

2014

J050. Yong Chen, Pui-In Mak, Li Zhang and Yan Wang, “A 0.002-mm2 6.4-mW 10-Gb/s Full-Rate Direct DFE Receiver with 59.6% Horizontal Eye Opening under 23.3-dB Channel Loss at Nyquist Frequency,” IEEE Transactions on Microwave Theory and Techniques, vol. 62, pp. 3107-3117, Dec. 2014.

J049. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A Sub-GHz Multi-ISM-Band ZigBee Receiver Using Function-Reuse and Gain-Boosted N-Path Techniques for IoT Applications,” IEEE Journal of Solid-State Circuits, vol. 49, pp. 2990-3004, Dec. 2014. [Invited Paper of ISSCC Special Issue]

J048. Fujian Lin, Pui-In Mak and R. P. Martins, “An RF-to-BB-Current-Reuse Wideband Receiver with Parallel N-Path Active/ Passive Mixers and a Single-MOS Pole-Zero LPF,” IEEE Journal of Solid-State Circuits, vol. 49, pp. 2547-2559, Nov. 2014.

J047. Md.Tawfiq Amin, Pui-In Mak and R. P. Martins, “A 0.137-mm2 9-GHz Hybrid Class-B/C QVCO with Output Buffering in 65-nm CMOS,” IEEE Microwave and Wireless Components Letters, vol. 24, pp. 716-718, Oct. 2014.

J046. Zhicheng Lin, Pui-In Mak and R. P. Martins, “Analysis and Modeling of a Gain-Boosted N-Path Switched-Capacitor Bandpass Filter,” IEEE Transactions on Circuits and Systems I, vol. 9, pp. 2560-2568, Sept. 2014.

J045. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and R. P. Martins, “NMR-DMF: a Modular Nuclear Magnetic Resonance–Digital Microfluidics System for Biological Assays,” RSC Analyst, 2014, 139 (23), 6204-6213.

J044. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A 0.14-mm2, 1.4-mW, 59.4 dB-SFDR, 2.4-GHz ZigBee/WPAN Receiver Exploiting a “Split-LNTA + 50% LO” Topology in 65-nm CMOS,” IEEE Transactions on Microwave Theory and Techniques, vol. 62, pp. 1525-1534, Jul. 2014.

J043. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A 2.4-GHz ZigBee Receiver Exploiting an RF-to-BB-Current-Reuse Blixer + Hybrid Filter Topology in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 49, pp. 1333-1344, Jun. 2014.

J042. Pui-In Mak, Miao Liu, Yaohua Zhao and R. P. Martins, “Enhancing the Performances of Recycling Folded Cascode OpAmp in Nanoscale CMOS through Voltage Supply Doubling and Design for Reliability,” Wiley International Journal of Circuit Theory and Applications, vol. 42, pp. 605–619, Jun. 2014.

J041. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui-In Mak, Mang-I Vai and R. P. Martins, “Natural Discharge after Pulse and Cooperative Electrodes to Enhance Droplet Velocity in Digital Microfluidics,” AIP Advances, 4, 047129 (2014).

Demo Videos: http://scitation.aip.org/content/aip/journal/adva/4/4/10.1063/1.4873407#multimedia

J040. Fujian Lin, Pui-In Mak and R. P. Martins, “A Sine-LO Square-Law Harmonic-Rejection Mixer – Theory, Implementation and Application,” IEEE Transactions on Microwave Theory and Techniques, vol. 62, pp.313-322, Feb. 2014.

2013

J039. Yong Chen, Pui-In Mak, Stefano D'Amico, Li Zhang, He Qian and Yan Wang, “A Single-Branch Third-Order Pole-Zero Lowpass Filter with 0.014-mm2 Die Size and 0.8 kHz (1.25 nW) to 0.94 GHz (3.99 mW) Bandwidth-Power Scalability,” IEEE Transactions on Circuits and Systems II, vol. 60, pp. 761-765, Nov. 2013.

J038. Yanwei Jia, Pui-In Mak, Conner Massey, Rui P. Martins and Lawrence J. Wangh, “Construction of a Microfluidic Chip for LATE-PCR Amplification and Detection of Single-Stranded DNA using Dried-Down Reagents,” RSC Lab on a Chip, 2013, 13, 4635–4641.

J037. Ka-Meng Lei, Pui-In Mak, and R. P. Martins, “Systematic Analysis and Cancellation of Kickback Noise in a Dynamic Latched Comparator,” Springer Analog Integrated Circuits and Signal Processing, vol. 77, pp. 277-284, Nov. 2013.

J036. Yong Chen, Pui-In Mak, Li Zhang, He Qian and Yan Wang, “A 0.013mm2, kHz-to-GHz-Bandwidth, 3rd-Order All-Pole Lowpass Filter with a 0.52-to-1.11pW/Pole/Hz Efficiency,” IET Electronics Letters, vol. 49, pp. 1340-1342, Oct. 2013. [Highlighted Paper]

J035. Tan-Tan Zhang, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Man-Kay Law, Sio-Hang Pun, Feng Wan and R. P. Martins, “15-nW Biopotential LPFs in 0.35-µm CMOS Using Subthreshold-Source-Follower Biquads with and without Gain Compensation,” IEEE Transactions on Biomedical Circuits and Systems, vol. 7, pp. 690-702, Oct. 2013. 

J034. Ka-Fai Un, Pui-In Mak and R. P. Martins, “A 53-to-75 mW, 59.3-dB HRR, TV-Band White-Space Transmitter Using a Low-Frequency Reference LO in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 48, pp. 2078-2089, Sept. 2013.

J033. Wei-Han Yu, Chak-Fong Cheang, Pui-In Mak, Weng-Fai Cheng, Ka-Fai Un, U-Wai Lok, Rui P. Martins, “A Non-Recursive Digital Calibration Technique for Joint-Elimination of Transmitter and Receiver I/Q Imbalances with Minimized Add-on Hardware,” IEEE Transactions on Circuits and Systems II, vol. 8, pp. 462-466, Aug. 2013.

J032. Yong Chen, Pui-In Mak, Li Zhang, He Qian and Yan Wang, “Pre-Emphasis Transmitter (0.007 mm2, 8 Gbit/s, 0–14 dB) with Improved Data Zero-Crossing Accuracy in 65 nm CMOS,” IET Electronics Letters, vol. 49, pp. 929-930, Jul. 2013. [Highlighted Paper]

J031. Yong Chen, Pui-In Mak, Li Zhang, He Qian and Yan Wang, “A 0.0012mm2, 8mW, Single-to-Differential Converter with <1.1% Data Cross Error and <3.4ps RMS Jitter up to 14Gb/s Data Rate,” IET Electronics Letters, vol. 49, pp. 692-694, May 2013.

J030. Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “A 0.016-mm2 144-µW Three-Stage Amplifier Capable of Driving 1-to-15 nF Capacitive Load with >0.95-MHz GBW,” IEEE Journal of Solid-State Circuits, vol. 48, pp. 527-540, Feb. 2013.

J029. Yong Chen, Pui-In Mak, Li Zhang, He Qian and Yan Wang, “A 5th-Order 20-MHz Transistorized-LC-Ladder LPF with 58.2-dB SFDR, 68-μW/Pole/MHz Efficiency and 0.13-mm2 Die Size in 90-nm CMOS,” IEEE Transactions on Circuits and Systems II, vol. 60, pp. 11-15, Jan. 2013.

J028. Jie Gao, Xianming Liu, Tianlan Chen, Pui-In Mak, Yuguang Du, Mang-I Vai, Bingcheng Lin and Rui P. Martins, “An Intelligent Digital Microfluidic System with Fuzzy-Enhanced Feedback for Multi-Droplet Manipulation,” RSC Lab on a Chip, 2013, 13, 443-451.

Demo Videos: http://www.rsc.org/suppdata/lc/c2/c2lc41156c/

2012

J027. Chio-In Ieong, Pui-In Mak, Chi-Pang Lam, Cheng Dong, Sio-Hang Pun, Mang-I Vai, Peng-Un Mak, Feng Wan and R. P. Martins, “A 0.83-µW QRS Detection Processor Using Quadratic Spline Wavelet Transform for Wireless ECG Acquisition in 0.35-µm CMOS,” IEEE Transactions on Biomedical Circuits and Systems, vol. 6, pp. 586-595, Dec. 2012.

J026. Wei-Han Yu, Weng-Fai Cheng, Yue Li, Chak-Fong Cheang, Pui-In Mak and R. P. Martins, “Low-Complexity, Full-Resolution, Mirror-Switching Digital Pre-Distortion Scheme for Polar-Modulated Power Amplifiers,” IET Electronics Letters, vol. 48, pp. 1551-1553, Nov. 2012.

J025. Wenya Nan, Feng Wan, Peng Un Mak, Pui-In Mak, Mang I Vai, Agostinho Rosa, “Individual Alpha Neurofeedback Training Effect on Short Term Memory,” Elsevier International Journal of Psychophysiology, vol. 86, pp. 83–87, Oct. 2012.

J024. Pui-In Mak and R. P. Martins, “Enhanced RFICs in Nanoscale CMOS,” IEEE Microwave Magazine, vol. 13, pp. 80-89, Sept./Oct., 2012. 

J023. Pui-In Mak, Chon-Teng Ma and R. P. Martins, “A Frequency-Translation Technique for Low-Noise Ultra-Low-Cutoff Lowpass Filtering,” Springer Analog Integrated Circuits and Signal Processing, vol. 72, pp. 265-269, Jul. 2012.

J022. Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “Ultra-Area-Efficient Three-Stage Amplifier Using Current Buffer Miller Compensation and Parallel Compensation,” IET Electronics Letters, vol. 48, pp.624-626, May 2012.

J021. Pui-In Mak, “Creating Multi-Stage Amplifiers with a Wide Range of Output-Current Drivability and Capacitive-Load Drivability is still very Challenging - Interview,” IET Electronics Letters, vol. 48, pp. 602, May 2012.

J020. Yong Chen, Pui-In Mak, L. Zhang and Y. Wang “A 0.07mm2, 2mW, 75MHz-IF, 4th-Order BPF Using a Source-Follower-Based Resonator in 90nm CMOS,” IET Electronics Letters, vol. 48, pp.552-554, May 2012.

J019. Zushu Yan, Pui-In Mak and R. P. Martins, “Double Recycling Technique for Folded-Cascode OTA,” Springer Analog Integrated Circuits and Signal Processing, vol. 71, no. 1, pp. 137-141, Apr. 2012.

J018. Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai, “Robust Deterministic Annealing Based EM Algorithm,” IET Electronics Letters, vol. 48, no. 5, pp. 289-290, Mar. 2012.

J017. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai, “Trial Pruning Based on Genetic Algorithm for Single-Trial EEG Classification,” Elsevier Journal of Computers and Electrical Engineering, vol. 38, pp. 35-44, Jan. 2012. [Invited Paper]

2011

J016. Pui-In Mak and R. P. Martins, “A 0.46-mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, pp. 1970-1984, vol. 46, Sept. 2011.

J015. Zushu Yan, Pui-In Mak and R. P. Martins, “Two-Stage Operational Amplifiers: Power-and-Area-Efficient Frequency Compensation for Driving a Wide Range of Capacitive Load,” IEEE Circuits and Systems Magazine, Issue 1, pp. 26-42, Jan.-Mar. 2011.

2010

J014. Pui-In Mak and R. P. Martins, “High-/Mixed-Voltage RF and Analog CMOS Circuits Come of Age,” IEEE Circuits and Systems Magazine, Issue 4, pp. 27-39, Dec. 2010.

J013. Pui-In Mak, “CAS Education: Assisting the Career Development of Young Members – Examples of What IEEE CAS Society Have Recently Done,” IEEE Circuits and Systems Magazine, Issue 3, pp.72-74, Aug. 2010.

J012. Pui-In Mak and R. P. Martins, “A 2×VDD-Enabled Mobile-TV RF Front-End with TV-GSM Interoperability in 1-V 90-nm CMOS,” IEEE Transactions on Microwave Theory and Techniques, vol. 58, pp.1664-1676, Jul. 2010.

J011. Yong Chen, Pui-In Mak and Yumei Zhou, “Self-Tracking Charge Pump for Fast-Locking PLL,” IET Electronics Letters, vol. 46, no. 11, pp. 755-757, May 2010.

J010. Ka-Fai Un, Pui-In Mak and R. P. Martins, “Analysis and Design of Open-Loop Multi-Phase Local-Oscillator Generator for Wireless Applications,” IEEE Transactions on Circuits and Systems I, vol. 57, no. 5, pp. 970-981, May 2010. [Invited Paper of ISCAS Special Issue]

J009. Yong Chen, Pui-In Mak and Yumei Zhou, “Mixed-Integrator Biquad for Continuous-Time Filters,” IET Electronics Letters, vol. 46, no. 8, pp. 561-563, Apr. 2010.

2009

J008. Pui-In Mak and R. P. Martins, “Design of an ESD-Protected Ultra-Wideband LNA in Nanoscale CMOS for Full-Band Mobile TV Tuners,” IEEE Transactions on Circuits and Systems I, vol. 56, no. 5, pp. 933-942, May 2009. [Invited Paper of ISCAS Special Issue] [2010 CASS Outstanding Young Author Award]

J007. Tuna Tarim, Martin Di Federico and Pui-In Mak, “Circuits and Systems Education: Viewpoint of GOLD and Industry,” IEEE Circuits and Systems Magazine, vol. 9, no. 1, pp. 42-48, Mar. 2009.

J006. Chon-Teng Ma, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Sio-Hang Pun, Wan Feng and R. P. Martins, “Frequency-Bandwidth-Tunable Powerline Notch Filter for Biopotential Acquisition Systems,” IET Electronics Letters, vol. 45, no. 4, pp. 197-199, Feb. 2009.

2003 – 2008

J005. Pui-In Mak, Seng-Pan U and R. P. Martins, “On the Design of a Programmable-Gain Amplifier with Built-in Compact DC-Offset Cancellers for Very Low-Voltage WLAN Systems,” IEEE Transactions on Circuits and Systems I, vol. 55, no. 3, pp. 496-509, Mar. 2008. 

J004. Pui-In Mak, Seng-Pan U and R. P. Martins, “Experimental 1-V Flexible-IF CMOS Analogue-Baseband Chain for IEEE 802.11a/b/g WLAN Receivers,” IET Proceedings – Circuits, Devices and Systems, no. 6, pp. 415-426, Dec. 2007.

J003. Pui-In Mak, Seng-Pan U and R. P. Martins, “Transceiver Architecture Selection–– Review, State-of-the-Art Survey and Case Study,” IEEE Circuits and Systems Magazine, Issue 2, pp. 6-25, Jun. 2007.

J002. Pui-In Mak, Seng-Pan U, R.P. Martins, “Two-Step Channel Selection– A Novel Technique for Reconfigurable Multistandard Transceiver Front-Ends,” IEEE Transactions on Circuits and Systems I, vol.52, pp.1302-1315, Jul. 2005.

J001. Pui-In Mak, Seng-Pan U, R.P. Martins, “A Novel Two-step Channel Selection Technique by Programmable Digital-Double Quadrature Sampling for Complex Low-IF Receivers,” IEE Electronics Letters, vol. 39, no. 11, pp. 825-827, May 2003.