Conference Papers

2024

C232. Xiongjie Zhang, Anyang Zhao, Xinman Li, Yang Jiang, Rui P. Martins, Pui-In Mak An 80W Single-Inductor DC-DC Architecture for Simultaneous Flash Charging and Dual-Output PoL Supply with 92.1% Peak Efficiency from 15V-to-28V Input to 12.6V/3.3V/1V Outputs Using 1.3mm³ Inductor,” European Solid-State Electronics Research Conference (ESSERC), Sep. 2024.

C231. Xiangxun Zhan, Jun Yin, Rui P. Martins, Pui-In Mak “A 54.6-65.1 GHz Multi-Path-Synchronized 16-Core Oscillator Achieving −131.4 dBc/Hz PN and 195.8 dBc/Hz FoMT at 10 MHz Offset in 65nm CMOS,” European Solid-State Electronics Research Conference (ESSERC), Sep. 2024.

C230. Hongyu Ren, Yunbo Huang, Zunsong Yang, Tianle Chen, Xianghe Meng, Weiwei Yan, Weidong Zhang, Zhongmao Li, Tetsuya Iizuka, Pui-In Mak, Yong Chen and Bo Li, “A Type-II Reference-Sampling PLL with Non-Uniform Octuple-Sampling Phase Detector Achieving 55-fs JitterRMS, -91.9-dBc Reference Spur and -259-dB Jitter-Power FOM,”  European Solid-State Electronics Research Conference (ESSERC), Sep. 2024.

C229. Xuchu Mu, Yang Jiang, Rui Martins, Pui-In Mak “A Fully Integrated 48-V GaN Driver Using Parallel-Multistep-Series Reconfigurable Switched-Capacitor Bank Achieving 7.7nC/mm2 On-Chip Bootstrap Driving Density,” IEEE Symposium on VLSI Circuits (VLSI), pp. 1-2, Jun. 2024.

C228. Tianle Chen, Yunbo Huang, Zunsong Yang, Hongyu Ren, Xianghe Meng, Weiwei Yan, Weidong Zhang, Xuqiang Zheng, Xuan Guo, Tetsuya Iizuka, Pui-In Mak, Yong Chen, and Bo Li“A 6.5-to-6.9-GHz SSPLL with Configurable Differential Dual-Edge SSPD Achieving 44-fs RMS Jitter, -260.7-dB FOMJitter, and -76.5-dBc Reference Spur,” IEEE Symposium on VLSI Circuits (VLSI), pp. 1-2, Jun. 2024.

C227. Yue Wu, Yatao Peng, Benhao Huo, Jun Yin, Pui-In Mak, Rui P. Martins, “A Switchless Dual-core Triple-Mode VCO Achieving 7.1-to-15.7 GHz Frequency Tuning Range and a 202.1 dBc/Hz Peak FoM at 3.7 Kelvin” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Digest., pp. 1-2, Jun. 2024.

C226. Gengzhen Qi, Haonan Guo, Pui-In Mak, Yunchu Li, “A SAW-less 3FLO-Suppression RF Transmitter with a Transformer-Based N-Path Switched-Capacitor Modulator Achieving -157.6dBc/Hz Output Noise and -61dBc CIM3IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Digest., pp. 1-2, Jun. 2024.

C225. Qiyao Jiang, Jun Yin, Quan  Pan, Rui P. Martins, Pui-In Mak, “A 52.3-to-67.3GHz 35.8-kHz-Resolution Triple-Push DCO Exploiting Source-Combining Technique for Third-Harmonic Enhancement Achieving 196.4dBc/Hz Peak FoMT at 10MHz Offset” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Digest., pp. 1-2, Jun. 2024.

C224. Jiangchao Wu, Ke Hu, Xuanlin Chen, Pui-In Mak, R. P. Martins, Man Kay Law, “A 0.25pJ/Comparison, 27.3µV Input Noise Dynamic Comparator Exploiting Stacked Floating Preamplifier with Cross-Coupled Feedback Inverters in 180nm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), Digest., pp. 1-2, Apr. 2024.

C223. Jian Yang, Tailong Xu, Xi Meng, Zhenghao Li, Jun Yin, R. P. Martins, Pui-In Mak, Quan Pan, “A 6.0-to-6.9GHz 99fsrms-Jitter Type-II Sampling PLL with Automatic Frequency and Phase Calibration Method Achieving 0.62μs Locking Time in 28nm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), Digest., pp. 1-2, Apr. 2024.

C222. Guangshu Zhao, Chao Xie, Chenxi Wang, Yang Jiang, Milin Zhang, Pui-In Mak, R. P. Martins, Man-Kay Law, “A 63ns Flipping Time, 93.6% Voltage Flipping Efficiency Auto-Calibrated Ultrasonic Energy Harvesting Interface from -25 to 85°C,” IEEE Custom Integrated Circuits Conference (CICC), Digest., pp. 1-2, Apr. 2024.

C221. Huihua Li, qiaobo Ma, Yang Jiang, Rui P. Martins, Pui-In Mak, “A 96.7%-Efficient 2.5A Scalable DC-DC Converter Module with Complementary Dual-Mode Reconfigurable Hybrid Topology Achieving Always Inductor Current Reduction, Continuously Adjustable VCR Range, and Interleaving COUT Augmentation,” IEEE Custom Integrated Circuits Conference (CICC), Digest., pp. 1-2, Apr. 2024.

C220. Qiaobo Ma, Huihua Li, Yang Jiang, Rui P. Martins, Pui-In Mak, “A Multi-Phase Multi-Path Hybrid Buck Converter for 9-48V to 0.8-1.2V Conversion with Improved DCR-Loss Reduction and Alleviated CFLY Current Gathering Achieving 88.3% Peak Efficiency and 176A/cm³ Density,” IEEE Custom Integrated Circuits Conference (CICC), Digest., pp. 1-2, Apr. 2024.

C219. Haijun Shao, Rui P. Martins, Pui-In Mak, “A 167μW 71.7dB-SFDR 2.4GHz BLE Receiver Using a Passive Quadrature-Front-End, a Double-Sided Double-Balanced Cascaded Mixer and a Dual-Transformer-Coupled Class-D VCO,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 406-407, Feb. 2024. [Chip Olympics]

C218. Fei Tan, Wei-Han Yu, Jinhai Lin, Ka-Fai Un, Rui P. Martins, Pui-In Mak, “A 1.8% FAR, 2ms Decision Latency, 1.73nJ/Decision Keywords Spotting (KWS) Chip Incorporating Transfer-Computing Speaker Verification, Hybrid-Domain Computing and Scalable 5T-SRAM,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 330-331, Feb. 2024. [Chip Olympics]

C217. Shuhao Fan, Qi Zhou, Ka-Meng Lei, Rui Martins, Pui-In Mak, “A Miniature Multi-Nuclei NMR/MRI Platform with a High-Voltage SOI ASIC Achieving a 134.4dB Image SNR with a 173×250×103µm3 Resolution,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 316-317, Feb. 2024. [Chip Olympics]

C216. Rui Luo, Ka-Meng Lei, Rui Martins, Pui-In Mak, “A 0.5V 6.14μW Trimming-Free Single-XO Dual-Output Frequency Reference with [5.1nJ, 120μs] XO Startup and [8.1nJ, 200μs] Successive-Approximation-Based RTC Calibration,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 58-59, Feb. 2024. [Chip Olympics]

C215. Qiaobo Ma, Yang Jiang, Huihua Li, Xiongjie Zhang, Man-Kay Law, Rui P. Martins, Pui-In Mak, “A 12-28V to 0.6-1.8V Ratio-Regulatable Dickson SC Converter with Dual-Mode Phase Misalignment Operations Achieving 93.1% Efficiency and 6A Output,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 460-461, Feb. 2024. [Chip Olympics]

C214. H. Li, T. Xu, X. Meng, J. Yin, R. P. Martins, P. I. Mak, “A 23.2-to-26GHz Subsampling PLL Achieving 48.3fsrms Jitter, -253.5dB FoMJ, and 0.55μs-Locking-Time Based on Function-Reused VCO-Buffer and Type-I FLL with Rapid Phase Alignment,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 204-205, Feb. 2024. [Chip Olympics]

C213. Yunbo Huang, Yong Chen, Zunsong Yang, Rui P. Martins, and Pui-In Mak, “A 0.027mm2 5.6-7.8GHz Ring-Oscillator-Based Ping-Pong Sampling PLL Scoring 220.3fsrms Jitter and −74.2dBc Reference Spur,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 130-131, Feb. 2024. [Chip Olympics]

C212. Y. Yuan, Y. Yang, X. Wang, X. Li, C. Ma, Q. Chen, M. Tang, X. Wei, Z. Hou, J. Zhu, H. Wu, Q. Ren, G. Xing, P-I. Mak, F. Zhang, “A 28nm 72.12-TFLOPS/W Hybrid-Domain Outer-Product Based Floating-Point SRAM Computing-in-Memory Macro with Logarithm Bit-Width Folding ADC,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 576-577, Feb. 2024. [Chip Olympics]

C211. Y. Zhao, C. Fan, Q. Fang, G. Zhang, J. Yin, P-I. Mak, L. Geng, “A 0.07mm2 20-to-23.8GHz 8-phase Oscillator Incorporating Magnetic + Dual-Injection Coupling Achieving 189.2dBc/Hz FoM@10MHz and 200.7dBc/Hz FoMA in 65nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 354-355, Feb. 2024. [Chip Olympics]

2023

C210. Qiaobo Ma, Huihua Li, Xiongjie Zhang, Anyang Zhao, Yang Jiang, Man-Kay Law, Rui Martins, Pui-In Mak, “A Cross-Coupled Hybrid SC Converter with Extended VCR Range and Intrinsic Loss Balance Achieving 90% Average Efficiency with 1.5% Variation Over Full Li-Ion Battery Input Range and 0.95A/mm2 Density,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-2, Nov. 2023.

C209. Jiangchao Wu, Guangshu Zhao, Litao Zhang, Yu Jia, Yang Jiang, Pui-In Mak, Rui P. Martins, Man-Kay Law, “Fully Integrated Reconfigurable Solar Energy Harvester for 100µA Burst Output Current Delivery with 78.6% Peak Energy Extraction Efficiency and Minimum Startup Incident Light Power of 0.27mW/cm2 ,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-2, Nov. 2023.

C208. Gengzhen Qi, Pui-In Mak, “A 0.5-to-1.5GHz BW-Extended Gain-Boosted N-Path Filter Using a Switched gm-C Network Achieving 50MHz BW and 18.2dBm OB-IIP3 ,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-2, Nov. 2023.

C207. M. Jang, W.-H. Yu, C. Lee, M. Hays, P. Wang, N. Vitale, P. Tandon, P. Yan, P.-I. Mak, Y. Chae, E.J. Chichilnisky, B. Murmann and D. G. Muratore, “A 1024-Channel 268 nW/pixel 36x36 μm2/ch Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces,” IEEE Symposium on VLSI Circuits (VLSI), C1-3, pp. 1-2, Jun. 2023.

C206. X. Zhang, Q. Ma, A. Zhao, Y. Jiang, M.-K. Law, P.-I. Mak and R. Martins, “A 0.05-to-3.1A 585mA/mm3 97.3%-Efficiency Outphase Switched-Capacitor Hybrid Buck Converter with Relieved Capacitor Inrush Current and COUT-Free Operation, IEEE Symposium on VLSI Circuits (VLSI), C11-1, pp. 1-2, Jun. 2023.

C205. Yunbo Huang, Yong Chen, Chaowei Yang, Pui-In Mak, Rui P. Martins, “A 9.97-GHz 190.6-dBc/Hz FOM CMOS VCO Featuring Nested Common-Mode Resonator and Intrinsic Differential 2nd-Harmonic Output,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2023.

C204. Chao Fan, Ya Zhao, Yanlong, Zhang, Jun Yin, Pui-In Mak, Guohe, Zhang, Li Geng, “A 13.5-to-28.8GHz 72.3%-Locking Range Multi-Phase Injection-Locked Frequency Tripler with Improved Output Power and Wideband Subharmonic-Spur Rejection in 28nm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), pp. 1-2, Apr. 2023.

C203. Zhizhan Yang, Jun Yin, Wei-Han Yu, Haochen Zhang, Pui-In Mak, Rui P. Martins, “A ULP Long-Range Active-RF Tag with Automatic Antenna-Interface Calibration Achieving 20.5% TX Efficiency at -22dBm EIRP and -60.4dBm Sensitivity at 17.8nW RX Power,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 470-471, Feb. 2023. [Chip Olympics]

C202. Jinhai Lin, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, “A 47nW Mixed-Signal Voice Activity Detector (VAD) Featuring a Non-Volatile Capacitor-ROM, a Short-Time CNN Feature Extractor and an RNN Classifier,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 214-215, Feb. 2023. [Chip Olympics]

C201. Hao Guo, Yong Chen, Yunbo Huang, Pui-In Mak, Rui P. Martins, “An 83.3-to-104.7GHz Harmonic-Extraction VCO Incorporating Multi-resonance, Multi-core and Multi-mode (3M) Techniques Achieving -124dBc/Hz Absolute PN and 190.7dBc/Hz FOMT,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 152-153, Feb. 2023. [Chip Olympics]

C200. Xiangxun Zhan, Jun Yin, Pui-In Mak, Rui P. Martins, “A 22.4-to-26.8GHz Dual-Path-Synchronized Quad-Core Oscillator Achieving −138dBc/Hz PN and 193.3dBc/Hz FoM at 10MHz Offset from 25.8GHz,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 148-149, Feb. 2023. [Chip Olympics]

C199. Haihua Li, Ka-Meng Lei, Pui-In Mak, Rui P. Martins, “A 12/13.56MHz Crystal Oscillator with Binary-Search-Assisted Two-Step Injection Achieving 5.0nJ Startup Energy and 45.8μs Startup Time,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 64-65, Feb. 2023. [Chip Olympics]

2022

C198. Liwen Lin, Ka-Meng Lei, Pui-In Mak and Rui P. Martins, “A sub-0.5V Crystal Oscillator-Timer (XO-Timer) Combining 16MHz Reference and 32kHz Sleep Timer with a Single Crystal for Energy-Harvesting Radios in 28nm CMOS,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-2, Nov. 2022.

C197. Yu Lei, Qishen Fang, Jiangchao Wu, Man-Kay Law, Pui-In Mak, Rui P. Martins, “High Linearity BJT-Based Time-Domain CMOS Temperature Sensor,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 1-2, Nov. 2022.

C196. Zhixiang Liu, Shiyou Wei, Gengzhen Qi and Pui-In Mak, “A 32dBm OOB-IIP3 BW-Extended 5G-NR Receiver with 4th-Order Gain-Boosted N-path LNA,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 1-2, Nov. 2022.

C195. Yi Mao, Yuyang Du, Gengzhen Qi and Pui-In Mak, “A 0.5 to 2GHz Blocker-Tolerant Receiver Achieving 29dBm OOB-IIP3 and 3.2 to 6dB NF Using Bottom-Plate Switched-Capacitor Technique,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 1-2, Nov. 2022.

C194. Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui. P. Martins, “Design and Implementation of a Low Power Switched-Capacitor-Based Analog Feature Extractor for Voice Keyword Spotting,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 1-2, Nov. 2022.

C193. Huihua Li, Qiaobo Ma, Xuchu Mu, Yang Jiang, Man-Kay Law, Pui-In Mak and Rui P. Martins, “Adaptive Line-Transient Enhancement Techniques for Dual-Path Hybrid Converter Achieving Ultra-Low Output Overshoot/Undershoot,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 1-2, Nov. 2022.

C192. Xinyi Ge, Yong Chen, Lin Wang, Nan Qi, Pui-In Mak, Rui P. Martins, “A 28-Gb/s 13.8-mW Half-Rate Bang-Bang Clock and Data Recovery Circuit Using Return-to-Zero-Based Symmetrical Bang-Bang Phase Detector,” IEEE Nordic Circuits and Systems Conference (NorCAS), pp. 1-2, Oct. 2022.

C191. Ruijie Zhao, Xiongjie Zhang, Xuchu Mu, Yang Jiang, Man-Kay Law, Pui-In Mak, and Rui P. Martins, “A Switched-Capacitor Hybrid Quadratic Buck Converter for 48V-Input Wide-Range Conversion,” IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 1-2, Oct. 2022.

C190. Lin Wang, Yong Chen, Chaowei Yang, Xiaoteng Zhao, Pui-In Mak, Franco Maloberti, Rui P. Martins, “A 10.8-to-37.4Gb/S Single-Loop Quarter-Rate BBCDR Without External Reference and Separate FD Featuring a Wide-Frequency-Acquisition Scheme,” IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 1-2, Oct. 2022.

C189. Xuchu Mu, Yang Jiang, Man-Kay Law, Pui-In Mak, and Rui P. Martins, “Design Challenges and Considerations of Non-isolated Gate Driver for GaN-based Converters,” IEEE Midwest Symposium on Circuits and Systems (MWSCAS), pp. 1-2, Aug. 2022.

C188. Xiongjie Zhang, Yang Jiang, Man-Kay Law, Pui-In Mak and Rui P. Martins, “Modelling and Analysis of ΔΣ-Modulation-Based  Output Spectrum Spur Reduction in Dual-Path Hybrid DC-DC Converters,” IEEE International Conference on PhD Research in Microelectronics and Electronics (PRIME), pp. 1-4, Jun. 2022.

C187. Wen Chen, Yiyang Shu, Huizhen Jenny Qian, Jun Yin, Pui-In Mak, Xiang Gao, Xun Luo, “A 21.8–41.6GHz Fast-Locking Sub-Sampling PLL with Dead Zone Automatic Controller Achieving 62.7-fs Jitter and –250.3dB FoM,” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Colorado, USA, Jun. 2022. [Best Student Paper Award Finalist]

C186. Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, “A 108nW 0.8mm2 Analog Voice Activity Detector (VAD) Featuring a Time-Domain CNN as a Programmable Feature Extractor and a Sparsity-Aware Computational Scheme in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 368-269, Feb. 2022. [Chip Olympics]

C185. Haijun Shao, Pui-In Mak, Gengzhen Qi, Rui P. Martins, “A 266µW Bluetooth Low-Energy (BLE) Receiver Featuring an N-Path Passive Balun-LNA and a Pipeline Down-Mixing BB-Extraction Scheme Achieving 77dB SFDR and -3dBm OOB-B-1dB,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 400-401, Feb. 2022. [Chip Olympics] [ISSCC 2022 Technical Highlight] 

2021

C184. Xi Meng, Junqi Guo, Haoran Li, Jun Yin, Pui-In Mak, Rui P. Martins, “A 15.2-to-18.2GHz Balanced Dual-Core Inverse-Class-F VCO with Q-Enhanced 2nd-Harmonic Resonance Achieving 187-to-188.1dBc/Hz FoM in 28nm CMOS,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-4, Nov. 2021.

C183. Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, “An Arithmetic Progression Switched-Capacitor DC-DC Converter with Soft VCR Transitions Achieving 93.7% Peak Efficiency and 400 Ma Output Current,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-4, Nov. 2021.

C182. Jixuan Li, Jiabao Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins “A 50.4 GOPs/W FPGA-Based MobileNetV2 Accelerator Using the Double-Layer Mac and DSP Efficiency Enhancement,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-4, Nov. 2021.

C181. Chongyao Xu, Jieyun Zhang, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, Rui P. Martins “Modeling Attack Resistant Strong PUF Exploiting Obfuscated Interconnections with <0.83% Bit-Error Rate,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 1-4, Nov. 2021.

C180. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, "A 0.45-V 3.3-µW Resistor-Based Temperature Sensor Achieving 10mK Resolution in 65-nm CMOS", 4th IEEE International Conference on Integrated Circuits, Technologies & Applications, Nov. 2021.

C179. Jun Yin, Pui-In Mak and Rui P. Martins, “A Periodically Time-Varying Inductor Applied to the Class-D VCO for Phase Noise Improvement,” IEEE European Solid-State Circuits Conference (ESSCIRC), pp. 1-4, Sep. 2021.

C178. Wei-Han Yu, Massimo Giordano, Rohan Doshi, Minglei Zhang, Pui-In Mak, Rui P. Martins and Boris Murmann, “A 4-bit Mixed-Signal MAC Array with Swing Enhancement and Local Kernel Memory,” IEEE Midwest Symposium on Circuits and Systems (MWSCAS), pp. 1-4, Aug. 2021.

C177. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti, and Rui P. Martins, “A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less FD-Less Single-Loop PAM-4 Bang-Bang CDR with a Deliberately-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS,” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp. 1-4, Jun. 2021. [Best Student Paper Award - 3rd Place] 

C176. Xiaoteng Zhao, Yong Chen, Xuqiang Zheng, Pui-In Mak, and Rui P. Martins, “A 0.01mm2 1.2-pJ/bit 6.4-to-8Gb/s Reference-less FD-Less BBCDR Using a Deliberately-Clock-Selected Strobe Point Based on a 2π/3-Interval Phase,” IEEE International Microwave Symposium (IMS), pp. 1-4, Jun. 2021.

C175. Yunbo Huang, Yong Chen, Pui-In Mak, and Rui P. Martins, “A 3.52-GHz Harmonic-Rich-Shaping VCO with Noise Suppression and Circulation Achieving -151-dBc/Hz Phase Noise at 10-MHz Offset,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2021.

C174. Hao Guo, Yong Chen, Pui-In Mak, Rui P. Martins, “A 5.0-to-6.36GHz Wideband-Harmonic-Shaping VCO Achieving 196.9dBc/Hz Peak FoM and 90-to-180kHz 1/f3 PN Corner Without Harmonic Tuning,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 294-295, Feb. 2021. [Chip Olympics]

C173. Jiangchao Wu, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2021. [Chip Olympics]

2020

C172. Io-Wa Iam, Iok-U Hoi, Zhicong Huang, Chi-Seng Lam, Pui-In Mak, Rui P. Martins, “A Unity-Power-Factor Inductive Power Transfer Converter with Inherent CC-to-CV Transition Ability for Automated Guided Vehicle Charging,” Annual Conference of the IEEE Industrial Electronics Society (IES), Oct. 2020.

C171. Jieyun Zhang, Xiaojin Zhao, Man-Kay Law, Chongyao Xu, Jiahao Liu, Pui-In Mak, Rui P. Martins, “A 6.4pJ/Bit Strong Physical Unclonable Function Based on Multiple-Stage Amplifier Chain,” IEEE International Symposium on Circuits and Systems (ISCAS), Oct. 2020.

C170. Chongyao Xu, Jieyun Zhang, Man-Kay Law, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, “An $N \times N$ Multiplier-Based Multi-Bit Strong PUF Using Path Delay Extraction with $2N^2$ Average Responses Per Challenge,” IEEE International Symposium on Circuits and Systems (ISCAS), Oct. 2020.

C169. Chuanqi Wei, Jiangchao Wu, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Low Complexity Illumination-Invariant Motion Vector Detection Based on Logarithmic Edge Detection and Edge Difference,” IEEE International Symposium on Circuits and Systems (ISCAS), Oct. 2020.

C168. Yong Chen, Pui-In Mak, Chirn Chye Boon and Rui P. Martins, “A 0.024-mm2 45.4-GHz-Bandwidth Unity-Gain Output Driver with SDD22<-10dB up to 35 GHz” IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Aug. 2020.

C167. Hao Guo, Yong Chen, Pui-In Mak, Rui P. Martins, “A 0.082mm2 24.5-to-28.3GHz Multi-LC-Tank Fully-Differential VCO Using Two Separate Single-Turn Inductors and a 1D-Tuning Capacitor Achieving 189.4dBc/Hz FOM and 200±50kHz 1/f3 PN Corner," IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Aug. 2020.

C166. Xiaoteng Zhao, Yong Chen, Pui-In Mak, Rui P. Martins, “A 0.0285mm2 0.68pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate Frequency Detector Achieving an 8.2(Gb/s)/µs Acquisition Speed of PAM-4 data in 28nm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), Mar. 2020.

C165. Chao Fan, Jun Yin, Chee-Cheow Lim, Pui-In Mak and Rui P. Martins, “A 9mW 54.9-to-63.5GHz Current-Reuse LO Generator with a 186.7dBc/Hz-FoM by Unifying a 20GHz 3rd-Harmonic-Rich Current-Output VCO, a Harmonic-Current Filter and a 60GHz TIA ” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 282-283, Feb. 2020. [Chip Olympics]

C164. Gengzhen Qi, Haijun Shao, Pui-In Mak, Jun Yin and Rui P. Martins, “A 1.4-to-2.7GHz FDD SAW-less Transmitter for 5G-NR Using a BW-Extended N-Path Filter-Modulator, an Isolated-BB Input and a Wideband TIA-Based PA Driver Achieving <-157.5dBc/Hz OB Noise,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 172-173, Feb. 2020. [Chip Olympics]

2019

C163. Zhicong Huang, Io Wa Iam, Iok U Hoi, Chi-Seng Lam, Pui-In Mak and Rui P. Martins, 'Self-Contained Solar-Powered Inductive Power Transfer System for Wireless Electric Vehicle Charging,” IEEE PES Asia-Pacific Power and Energy Engineering Conference (APPEEC), Dec. 2019. [Best Track Paper Award]

C162. Zunsong Yang, Yong Chen, Pui-In Mak, and Rui P. Martins, “A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS,” IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2019.

C161. Xiaoteng Zhao, Yong Chen, Pui-In Mak, and Rui P. Martins, “A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery Circuit (BBCDR) in 28-nm CMOS” IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Nov. 2019. [Best Paper Award]

C160. Lingshan Kong, Yong Chen, Haohong Yu, Quan Pan, Chirn Chye Boon, Pui-In Mak, and Rui P. Martins, “Wideband Variable-Gain Amplifiers Based on a Pseudo-Current-Steering Gain-Tuning Technique,” IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Nov. 2019.

C159. Mingzhong Li, Man-Kay Law, Pui-In Mak, and Rui P. Martins, “Ultra-Low-Frequency Induced Tiny Droplet Transportation with Small Droplet-to-Electrode Area Ratio in Digital Microfluidic Platforms,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2019.

C158. Liang Wan, Tianlan Chen, Haoran Li, Cheng Dong, Yanwei Jia, Pui-In Mak, and Rui P. Martins, “A dual-heater Digital Microfluidic System for Fast Polymerase Chain Reaction with Sloppy Temperature Control,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2019.

C157. Jiao Zhai, Yanwei Jia, Pui-In Mak, and Rui P. Martins, “Digital Microfluidic Drug Screening on Biopsies from Xenograft Mouse Breast Cancer,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2019.

C156. Ren Shen, Yanwei Jia, Pui-In Mak, and Rui P. Martins, “Hairpin-structured PCR Enhancer for Microfluidic Platforms,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2019.

C155. Zhicong Huang, Zhijian Fang, Chi-Seng Lam, Pui-In Mak and Rui P. Martins, "Design of Series/Series-Parallel Compensated Inductive Power Transfer Converter as Wireless Grid to Vehicle Interface," IEEE Vehicle Power and Propulsion Conference (VPPC), Oct. 2019.

C154. Zhicong Huang, Zhijian Fang, Chi-Seng Lam, Pui-In Mak and Rui P. Martins, "Efficiency Optimization of Series/Series-Parallel IPT System with Load-Independent Output Voltage and Zero Input Phase Angle," IEEE Energy Conversion Congress and Exposition (ECCE), Sept. 2019.

C153. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui-In Mak and Rui P. Martins, “Using EDA Tools to Push the Performance Boundaries of an Ultralow-Power IoT-VCO at 65nm,” International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD 2019), Jul. 2019.

C152. Ren Shen, Yanwei Jia, Pui-In Mak, Rui Martins, “Hairpin-structured PCR Enhancer for Digital Microfluidic System,” 9th International Multidisciplinary Conference on Optofluidics (IMCO), Hong Kong, Jun. 2019.

C151. Ruping Xiao, Mingzhong Li, Man-Kay Law, Pui-In Mak and Rui P. Martins, “A 0.45-V 70-nW QRS Detector Using Decimated Quadratic Spline Wavelet Transform and Window-based Extrema Difference Techniques,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Jun. 2019.

C150. Yukun Xu, Man-Kay Law, Pui-In Mak and Rui P. Martins, “A Curvature Compensated BJT-based Time-Domain Temperature Sensor With An Inaccuracy of ±0.7°C From -40 to 125°C,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Jun. 2019.

C149. Jun Yin, Xi Meng, Pui-In Mak and Rui P. Martins “Wideband MM-Wave CMOS VCOs - Switched Inductor, Mode-Switching Inductive Tuning, and Harmonic Extraction Techniques,” International Conference on Microwave and Millimeter Wave Technology (ICMMT), May 2019.

C148. H. Guo, Y. Chen, P.-I. Mak, R. P. Martins, “A 0.08mm2 25.5-to-29.9GHz Multi-Resonant-RLCM-Tank VCO Using a Single-Turn Multi-Tap Inductor and CM-Only Capacitors Achieving 191.6-dBc/Hz FOM and 130kHz 1/f3 PN Corner,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 410-411, Feb. 2019. [Chip Olympics]

C147. Z. Yang, Y. Chen, S. Yang, P.-I. Mak, R. P. Martins, “A 25.4-to-29.5GHz 10.2mW Isolated-Sub-Sampling PLL (iSS-PLL) Achieving -252.9dB Jitter-power FOM and -63dBc Reference Spur,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 270-271, Feb. 2019. [Chip Olympics]

C146. Z. Chen, Y. Jiang, M.-K. Law, P.-I. Mak, X. Zeng, R. P. Martins, “A Piezoelectric Energy-Harvesting Interface using Split-Phase Flipping-Capacitor Rectifier (FCR) and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3x Energy-Extraction Improvement,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 424-425, Feb. 2019. [Chip Olympics]

C145. C. Fan, J. Luo, J. Yin, P.-I. Mak, R. P. Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2019. [Chip Olympics]

2018

C144. Mingzhong Li, Cheng Dong, Man-Kay Law, Yanwei Jia, Pui-in Mak and Rui P. Martins, “A Hydrodynamic Flow Enhanced Digital Microfluidic System For Single-Electrode Rapid Mixing Of Stationary Droplets,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2018.

C143. Jiao Zhai, Yunyi Li, Cheng Dong, Haoran Li, Yanwei Jia, Pui-in Mak and Rui P. Martins, “3D Microstructures to Realize Single Cell Culture on Digital Microfluidic Chip for Precise Medicine,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2018.

C142. Haoran Li, Yanwei Jia, Ren Shen, Tianlan Chen, Cheng Dong, Pui-In Mak, Rui P. Martins, “On-chip Pico-pipette: A Method for Precise Delivery in a DMF system,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Nov. 2018.

C141. Kai Xu, Jun Yin, Pui-In Mak, R. B. Staszewski, Rui P. Martins “A 2.4-GHz Single-Pin Antenna Interface RF Front-End with a Function-Reuse Single-MOS VCO-PA and a Push-Pull LNA,” IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2018.

C140. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui-In Mak, Rui P. Martins “Design and Optimization of a Class-C/D VCO for Ultra-Low-Power IoT and Cellular Applications,” International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Jul. 2018.

C139. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui-In Mak, Rui P. Martins “Design and Optimization of a Class-C/D VCO for Ultra-Low-Power IoT and Cellular Applications,” ACM/IEEE Design Automation Conference (DAC), Work-in-Progress Session, Jun. 2018.

C138. Ka-Meng Lei, Pui-In Mak, and R. P. Martins, “A 0.4V 6.4μW 3.3MHz CMOS Bootstrapped Relaxation Oscillator with ±0.71% Frequency Deviation over -30 to 100°C for Wearable Applications,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, May 2018.

C137. Yang Jiang, Man-Kay Law, Pui-In Mak, R. P. Martins, “A 0.22-to-2.4V-Input Fine-Grained Fully Integrated Rational Buck-Boost SC DC-DC Converter Using Algorithmic Voltage-Feed-In (AVFI) Topology Achieving 84.1% Peak Efficiency at 13.2mW/mm2,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 422-423, Feb. 2018. [Chip Olympics]

C136. Jun Yin, Shiheng Yang, Haidong Yi, Wei-Han Yu, Pui-In Mak, R. P. Martins, “A 0.2V Energy-Harvesting BLE Transmitter with a Micropower Manager Achieving 25% System Efficiency at 0dBm Output and 5.2nW Sleep Power in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., 450-451, Feb. 2018. [Chip Olympics]

C135. Chee-Cheow Lim, Jun Yin, Pui-In Mak, Harikrishnan Ramiah, R. P. Martins, “An Inverse-Class-F CMOS VCO with Intrinsic-High-Q 1st- and 2nd-Harmonic Resonances for 1/f2-to-1/f3 Phase-Noise Suppression Achieving 196.2dBc/Hz FOM,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 374-375, Feb. 2018. [Chip Olympics] [ISSCC 2018 Technical Highlight]

C134. Shiheng Yang, Jun Yin, Pui-In Mak, R. P. Martins, “A 0.0056mm2 All-Digital MDLL Using Edge Re-Extraction, Dual-Ring VCOs and a 0.3mW Block-Sharing Frequency Tracking Loop Achieving 292fsrms Jitter and -249dB FOM,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 118-119, Feb. 2018. [Chip Olympics] [ISSCC 2018 Technical Highlight]

C133. Ka-Meng Lei, Pui-In Mak, Man-Kay Law, R. P. Martins, “A Regulation-Free Sub-0.5V 16/24MHz Crystal Oscillator for Energy-Harvesting BLE Radios with 14.2nJ Startup Energy and 31.8µW Steady-State Power,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 52-53, Feb. 2018. [Chip Olympics]

C132. Xingqiang Peng, Wei-Han Yu, Jun Yin, Pui-In Mak, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2018. [Chip Olympics] [ISSCC SRP Poster Award]

2017

C131. Ruping Xiao, Mingzhong Li, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Ultra-low Power QRS Detection using Adaptive Thresholding based on Forward Search Interval Technique,” IEEE Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, Oct. 2017.

C130. Baoyi Cen, Yang Jiang, Kwan Ting Ng, Man-Kay Law, Pui-In Mak, Rui P. Martins, “A Wide Range High Efficiency Fully Integrated Switched-Capacitor DC-DC Converter with Fixed Output Spectrum Modulation,” IEEE Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, Oct. 2017.

C129. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui-In Mak, Rui P. Martins, “Digital Microfluidic Platform for False-Positive-Free Loop-Mediated Isothermal Amplification,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), paper M167g, Oct. 2017.

C128. Gengzhen Qi, Barend van Liempd, Pui-In Mak, Rui P. Martins, Jan Craninckx , “A 0.7 to 1 GHz Switched-LC N-Path LNA Resilient to FDD-LTE Self-Interference at ≥40 MHz Offset,” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp. 276-279, Jun. 2017.

C127. Dapeng Sun, Man-Kay Law, Bo Wang, Pui-In Mak, Rui P. Martins, “Piecewise BJT Process Spread Compensation Exploiting Base Recombination Current,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2017.

C126. Tan-Tan Zhang, Man-Kay Law, Pui-In Mak, Mang-I Vai, and R. P. Martins, “A 310nW 14.2-Bit Iterative-Incremental ADC for Wearable Sensing Systems,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2017.

C125. Ka-Meng Lei, Pui-In Mak, and R. P. Martins, “A 0.4V 4.8μW 16MHz CMOS Crystal Oscillator Achieving 74-Fold Startup-Time Reduction Using Momentary Detuning,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2017.

C124. Yang Jiang, Pui-In Mak, R. P. Martins, Man-Kay Law, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2017. [Chip Olympics]

C123. Tantan Zhang, Man-Kay Law, Pui-In Mak, R. P. Martins, Mang-I Vai, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2017. [Chip Olympics]

C122. Antoine Dupret, Pui-In Mak, Eugenio Cantatore, “Session 21 overview: Smart SoCs for innovative applications,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 350-351, Feb. 2017. [Chip Olympics]

C121. Zhiyuan Chen, Man-Kay Law, Pui-In Mak, Wing-Hung Ki, R. P. Martins, “A 1.7mm2 Inductor-less Fully-Integrated Capacitive-Flip Rectifier (CFR) for Piezoelectric Energy Harvesting with 483% Power Extraction Improvement,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 372-373, Feb. 2017. [Chip Olympics] [ISSCC 2017 Technical Highlight]

C120. Wei-Han Yu, Haidong Yi, Pui-In Mak, Jun Yin, R. P. Martins, “A 0.18V 382µW Bluetooth Low-Energy (BLE) Receiver with 1.33nW Sleep Power for Energy-Harvesting Applications in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 414-415, Feb. 2017. [Chip Olympics] [ISSCC 2017 Technical Highlight]

2016

C119. Cheng Dong, Yanwei Jia, Tianlan Chen, Jie Gao, Liang Wan, Pui-In Mak, Mang-I Vai, R. P. Martins, “Digital Microfluidic Chip with Blade Structures for Precise Droplet Splitting,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), paper W108f, Oct. 2016.

C118. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Liang Wan, Pui-In Mak, R. P. Martins, “A Calibration-Free Thermal Digital Microfluidic Device for Ultrafast DNA Melting Curve Analysis,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), paper W107f, Oct. 2016.

C117. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui-In Mak, and R. P. Martins, “A High-Q Spiral Inductor with Dual-Layer Patterned Floating Shield in a Class-B VCO Achieving a 190.5-dBc/Hz FoM,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2759-2762, May 2016.

C116. Ka-Meng Lei, Hadi Heidari, Pui-In Mak, Man-Kay Law, F. Maloberti, R. P. Martins, “A Handheld 50pM-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 474-475, Feb. 2016. [Chip Olympics] [ISSCC Silkroad Award] [ISSCC 2016 Technical Highlight]

C115. Jun Yin, Pui-In Mak, F. Maloberti, R. P. Martins, “A 0.003mm2 1.7-to-3.5GHz Dual-Mode Time-Interleaved Ring-VCO Achieving 90-to-150kHz 1/f3 Phase Noise Corner,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 48-49, Feb. 2016. [Chip Olympics]

C114. Gengzhen Qi, Pui-In Mak, R. P. Martins, “A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop,” IEEE International Solid-State Circuits Conference (ISSCC), Digest., pp. 452-453, Feb. 2016. [Chip Olympics]

C113. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui-In Mak, Mang I Vai, Rui Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2016. [Chip Olympics]

C112. Wei-Han Yu, Xingqiang Peng, Pui-In Mak, Rui Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2016. [Chip Olympics]

C111. Chio-In Ieong, Pui-In Mak, Mang-I Vai, Rui P. Martins, “Sub-µW QRS Detection Processor Using Quadratic Spline Wavelet Transform and Maxima Modulus Pair Recognition for Power-Efficient Wireless Arrhythmia Monitoring,” Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 21-22, Jan. 2016.

C110.Chak-Fong Cheang, Ka-Fai Un, Pui-In Mak, Rui P. Martins, “Time-Domain I/Q-LOFT Compensator Using a Simple Envelope Detector for a Sub-GHz IEEE 802.11af WLAN Transmitter,” Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 3-4, Jan. 2016.

C109. Ming-Zhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang-I Vai, Sio-Hang Pun, Rui P. Martins, “Sub-threshold VLSI Logic Family Exploiting Unbalanced Pull-up/down Network, Logical Effort and Inverse-Narrow-Width Techniques,” Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 15-16, Jan. 2016.

2015

C108. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and Rui P. Martins, “A µNMR CMOS Transceiver Using a Butterfly-Coil Input for Co-Integration with a Digital Microfluidic Device Inside a Portable Magnet,” IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2015. [A-SSCC Distinguished Design Award]

C107. Ka-Meng Lei, Pui-In Mak, Man-Kay Law and Rui P. Martins, “A Thermal-Insensitive Palm-Size µNMR Relaxometer Using Magnetic Field Calibrator for Chemical/Biological Diagnostics,” International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS), Oct. 2015. [Lecture]

C106. Limin Yang, Wenya Nan, Xiaoting Qu, Feng Wan, Pui-In Mak, Peng Un Mak, Mang I Vai, Yong Hu, and Agostinho Rosa, “Beta/theta Ratio Neurofeedback Training Effects on the Spectral Topography of EEG,” International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 2015.

C105. Hadi Heidari, Ka-Meng Lei, Pui-In Mak, Man-Kay Law and Franco Maloberti, “Exploring the Noise Limits of Fully-Differential Micro-Watt Transimpedance Amplifiers for Sub-pA/√Hz Sensitivity,” IEEE Ph.D. Research In Micro-electronics and Electronics (PRIME), Jul. 2015.

C104. Sanfeng Lu, Man-Kay Law, Pui-In Mak and Rui P. Martins, “Multi-Range, Ultra-Low-Power, -20 to 60°C CMOS Smart Temperature Sensor with ±0.1°C Inaccuracy,” International Symposium on Signals, Circuits and Systems (ISSCS), pp., Jul. 2015.

C103. Yong Chen, Pui-In Mak, Jiale Yang, Ruifeng Yue and Yan Wang, “Comparator with Built-in Reference Voltage Generation and Split-ROM Encoder for a High-Speed Flash ADC,” International Symposium on Signals, Circuits and Systems (ISSCS), pp., Jul. 2015.

C102. Wenya Nan, X. Qu, Lanshin Yang, Fan Wan, Y. Hu, Pedro Mou, Pui-In Mak, Peng-Un Mak, Mang-I Vai and Agostinho Rosa, “Beta/Theta Neurofeedback Training Effects in Physical Balance of Healthy People,” World Congress on Medical Physics and Biomedical Engineering (IUPESM), Jun. 2015.

C101. X. Qu, Q. Tang, L. Yang, W. Nan, J.N. Da Cruz, F. Wan, P.A. Mou, Pui-In Mak, P.U. Mak, M.I. Vai, Y. Hu, A. Rosa, “How Mental Strategy Affects Beta/Theta Neurofeedback Training,” World Congress on Medical Physics and Biomedical Engineering (IUPESM), Jun. 2015.

C100. J. C. F. Ngai, Pui-In Mak and S. W. I. Siu, “Predicting protein docking poses on a solid surface by particle swarm optimization,” IEEE Congress on Evolutionary Computation (CEC), May 2015.

C099. Changhao Chen, Xutong Cui, Sio Hang Pun, Peng Un Mak, Pui-In Mak, Mang I. Vai, Achim Klug, Tim Lei, “Input Capacitance Compensated Neural Recording Amplifier,” IEEE EMBS Neural Engineering Conference (NER), Apr. 2015.

C098. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A 0.028mm2 11mW Single-Mixing Blocker-Tolerant Receiver with Double-RF N-Path Filtering, S11 Centering, +13dBm OB-IIP3 and 1.5-to-2.9dB NF,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 36-37, Feb. 2015. [Chip Olympics]

C097. Ka-Meng Lei, Pui-In Mak, Man-Kay Law, R. P. Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2015. [Chip Olympics]

2014

C096. Su-Yan Fan, Man-Kay Law, Pui-In Mak and R. P. Martins, “A 0.3-V, 37.5-nW 1.5~6.5-pF-Input-Range Supply Voltage Tolerant Capacitive Sensor Readout,” International Symposium on Integrated Circuits (ISIC), pp. 388-391, Dec. 2014.

C095. Shiheng Yang, Pui-In Mak and R. P. Martins, “A 104μW EMI-Resisting Bandgap Voltage Reference Achieving –20dB PSRR, and 5% DC Shift under a 4dBm EMI Level,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 57-60, Nov. 2014.

C094. Diyang Zhao, Ka-Meng Lei, Pui-In Mak, Man-Kay Law and R. P. Martins, “Co-Design of a Low-Noise Receiver Front-End and its Exciting-Sensing Coil for Portable NMR-Screening of Chemical/Biological Droplets,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 403-406, Nov. 2014. [Student Travel Grant Award]

C093. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui-In Mak, Mang-I Vai, and R. P. Martins, “Time-Regulated Actuation Signal for Enhancement of Droplet Transportation in Digital Microfluidics,” Lab-on-a-Chip Asia 2014 - Microfluidics and Point-of-Care Diagnostics, Poster Session, Nov. 2014.

C092. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui-In Mak, Mang-I Vai, and R. P. Martins, “Electrical Actuation on Digital Microfluidics with a Ta2O5 Insulating Layer: a Comparison Study,” Lab-on-a-Chip Asia 2014 - Microfluidics and Point-of-Care Diagnostics, Poster Session, Nov. 2014.

C091. Ze Wang, Chi Man Wong, Janir Nuno da Cruz, Feng Wan, Pui-In Mak, Peng Un Mak and Mang I Vai, “Muscle and Electrode Motion Artifacts Reduction in ECG Using Adaptive Fourier Decomposition,” IEEE International Conference on Systems, Man, and Cybernetics (SMC), pp.1456-1461, Oct. 2014.

C090. Jie Gao, Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui-In Mak and R. P. Martins, “The Fabrication and Application of a Robust and Intelligent Digital Microfluidics,” International Conference for Top and Emerging Materials Scientists (IC-TEMS), Paper No. 6, Jul. 2014.

C089. Xing-Qiang Peng, Wei-Han Yu, Pui-In Mak and Rui P. Martins, “A 26.3 dBm 2.5 to 6 GHz Wideband Class-D Switched-Capacitor Power Amplifier with 40% Peak PAE,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, Jun. 2014.

C088. Wei Wang, Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “Micropower Two-Stage Amplifier Employing Recycling Current-Buffer Miller Compensation,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1889-1892, May 2014. [Finalist of Student Best Paper Award contest]

C087. Fujian Lin, Pui-In Mak and R. P. Martins, “An RF-to-BB-Current-Reuse Wideband Receiver with Parallel N-Path Active/Passive Mixers and a Single-MOS Pole-Zero LPF,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 74-75, Feb. 2014. [Chip Olympics]

C086. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A 0.5V 1.15mW 0.2mm2 Sub-GHz ZigBee Receiver Supporting 433/860/915/960MHz ISM Bands with Zero External Components,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 164-165, Feb. 2014. [Chip Olympics] [ISSCC 2014 Technical Highlight] 

C085. Zushu Yan, Pui-In Mak, Man-Kay Law, R. P. Martins and F. Maloberti, “A 0.0013mm2 3.6μW Nested-Current-Mirror Single-Stage Amplifier Driving 0.15-to-15nF Capacitive Loads with >62° Phase Margin,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 288-289, Feb. 2014. [Chip Olympics]

C084. Yaohua Zhao, Pui-In Mak, Man-Kay Law and R. P. Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2014. [Chip Olympics]

2013

C083. Yaohua Zhao, Pui-In Mak, Man-Kay Law, R. P. Martins, “A 0.127-mm2, 5.6-mW, 5th-Order SC LPF with +23.5-dBm IIP3 and 1.5-to-15-MHz Clock-Defined Bandwidth in 65-nm CMOS,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 361-364, Nov. 2013.

C082. Jie Gao, Tianlan Chen, Pui-In Mak, Mang-I Vai and Rui P. Martins, “An Intelligent Digital Microfluidics with Autonomous Positioning and Fuzzy-Enhanced Feedback Control,” SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore, Nov. 2013.

C081. Yanwei Jia, Pui-In Mak, Conner Massey, Rui P. Martins and Lawrence J. Wangh, “Dried-down Reagents on a Microfluidic Chip for LATE-PCR Amplification and Detection of Single-stranded DNA,” SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore, Nov. 2013.

C080. Ka Meng Lei, Pui-In Mak, Man-Kay Law, Rui Martins, “A 2.93μW 8-Bit Capacitance-to-RF Converter for Movable Laboratory Mice Blood Pressure Monitoring,” The 5th Asia Symposium on Quality Electronic Design (ASQED), pp. 216-219, Aug. 2013. [Best Paper Award]

C079. Gengzhen Qi, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui Martins, “A Wideband Multi-Stage Inverter-Based Driver Amplifier for IEEE 802.22 WRAN Transmitters,” The 5th Asia Symposium on Quality Electronic Design (ASQED), pp. 6-9, Aug. 2013.

C078. Ming-Zhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang-I Vai, Rui Martins, “Sub-threshold Standard Cell Library Design for Ultra-Low Power Biomedical Applications,” International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), pp. 1454-1457, Jul. 2013.

C077. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Feng Wan, Rui P. Martins, “Standard Cell Library Design with Voltage Scaling and Transistor Sizing for Ultra-Low-Power Biomedical Applications,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Jun. 2013.

C076. Tao Wu, Man-Kay Law, Pui-In Mak, Rui P. Martins, “An Ultra-Low Power CMOS Smart Temperature Sensor for Clinical Temperature Monitoring,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Jun. 2013.

C075. Zhiyuan Chen, Man-Kay Law, Pui-In Mak, Rui P. Martins, “Optimization of Microwatt On-Chip Charge Pump for Single-Chip Solar Energy Harvesting,” IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Jun. 2013.

C074. Md.Tawfiq Amin, Pui-In Mak and R. P. Martins, “A 0.5V 10GHz 8-Phase LC-VCO Combining Current-Reuse and Back-Gate-Coupling techniques Consuming 2mW,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2698-2701, May 2013.

C073. Jiangchao Wu, Man-Kay Law, Pui-In Mak and R. P. Martins, “A 1.83μW, 0.78μVrms Input Referred Noise Neural Recording Front End,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 405- 408, May 2013.

C072. Zhicheng Lin, Pui-In Mak and R. P. Martins, “A 1.7mW 0.22mm2 2.4GHz ZigBee RX Exploiting a Current-Reuse Blixer + Hybrid Filter Topology in 65nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 448-449, Feb. 2013. [Chip Olympics]

C071. Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “Student Research Preview,” IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2013. [Chip Olympics]

2012

C070. Yinsidi Jiao, Wei-Han Yu, Pui-In Mak, Rui P. Martins, “A Dynamic-Range-Improved 2.4GHz WLAN Class-E PA Combining PWPM and Cascode Modulation,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp. 148-151, Dec. 2012.

C069. Yanjie Xiao, Tantan Zhang, Pui In Mak, Man-Kay Law and Rui P. Martins, “A 0.8-μW 8-Bit 1.5~20-pF-Input-Range Capacitance-to-Digital Converter for Lab-on-Chip Digital Microfluidics Systems,” IEEE Biomedical Circuits and Systems Conference (BIOCAS), C6L-A-1, Nov. 2012.

C068. Teng Cao, Feng Wan, Peng-Un Mak, Pui-In Mak and Mang-I Vai, “Flashing Color on the Performance of SSVEP based Brain-Computer Interfaces,” International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), pp. 1819-1822, Sept. 2012.

C067. Wenya Nan, Lanshin Chang, Joao Pedro Rodrigues, Feng Wan, Peng Un Mak, Pui-In Mak, Mang I Vai and Agostinho Rosa “Neurofeedback for the Treatment of Schizophrenia: Case Study,” IEEE International Conference on Virtual Environments, Human-Computer Interfaces, and Measurement Systems (VECIMS), pp. 78-81, Jul. 2012.

C066. Yue Liu, Xiao Jiang, Teng Cao, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai, “Implement of SSVEP based BCI through Emotiv EPOC,” IEEE International Conference on Virtual Environments, Human-Computer Interfaces, and Measurement Systems (VECIMS), pp. 34-37 Jul. 2012.

C065. Junwei Duan, Changhao Chen, Sio Hang Pun, Feng Wan, Peng Un Mak, Pui-In Mak, Mang I Vai and Yong Hu, “A Wearable Wireless General Purpose Bio-signal Acquisition Prototype System for Home Healthcare,” IEEE International Conference on Biomedical Engineering and Biotechnology (ICBEB), pp.1176-1179, May 2012.

C064. Wenya Nan, Joao P. Rodrigues, Feng Wan, Peng Un Mak, Pui-In Mak, Mang I Vai, Agostinho Rosa, “A Further Study on Short Term Memory Improvement by Neurofeedback,” IEEE International Conference on Biomedical Engineering and Biotechnology (ICBEB), pp. 959-961, May 2012.

C063. Miguel A. Martins, Pui-In Mak and R. P. Martins, “A 0.02-to-6GHz SDR Balun-LNA Using a Triple-Stage Inverter-Based Amplifier,” IEEE International Symposium on Circuits and Systems (ISCAS), pp.472-475, May 2012. 

C062. Jie Gao, Tianlan Chen, Pui-In Mak, Mang-I Vai, R. P. Martins,  “A Digital Microfluidic System with Low Voltage Threshold and Control Module for Droplet Manipulation,” 3rd International (West Lake) Forum on Microfluidic Analysis (IFMA), Apr. 2012. 

C061. Zushu Yan, Pui-In Mak, Man-Kay Law and R. P. Martins, “A 0.016mm2 144µW Three-Stage Amplifier Capable of Driving 1-to-15nF Capacitive Load with >0.95MHz GBW,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 368-369, Feb. 2012. [Chip Olympics]

 2011

C060. U-Wai Lok, Pui-In Mak, Wei-Han Yu and R.P. Martins, “A Novel Digital Pre-distortion Technique for Class-E PA with Delay Mismatch Estimation,” IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), Oct. 2011. [Silver Leaf Certificate]

C059. Liu Miao, Pui-In Mak, Yaohua Zhao and R. P. Martins, “A Double Active-Decoupling Technique for Reducing Package Effects in a Cognitive-Radio Balun-LNA,” IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), Oct. 2011. [Gold Leaf Certificate]

C058. Cheng Dong, Chio-In Ieong, Mang-I Vai, Peng-Un Mak, Pui-In Mak, Feng Wan, “A Real-Time Heart Beat Detector and Quantitative Investigation based on FPGA,” IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), Oct. 2011.

C057. Tan-Tan Zhang, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Feng Wan, R. P. Martins, “An Ultra-Low-Power Filtering Technique for Biomedical Applications,” International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sept. 2011.

C056. Chio-In Ieong, Cheng Dong, Agostinho Rosa, Mang-I Vai, Peng-Un Mak, Feng Wan, Pui-In Mak, “A Snoring Classifier based on Heart Rate Variability Analysis,” International Conference of Computing in Cardiology (CinC), Sept. 2011.

C055. Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “Entropy Penalized Learning for Gaussian Mixture Models,” International Joint Conference on Neural Networks (IJCNN), Aug. 2011.

C054. Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “A Solution to Harmonic Frequency Problem: Frequency and Phase Coding-Based Brain-Computer Interface,” International Joint Conference on Neural Networks (IJCNN), Aug. 2011.

C053. Xin Wang, Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak, Mang I Vai and Chaozheng Li “An Online SSVEP-based Chatting System,” International Conference on System Science and Engineering (ICSSE), Jun. 2011.

C052. Miguel A. Martins, Pui-In Mak and R. P. Martins, “A Single-to-Differential Low-Noise Amplifier with Robust Output Differential Balancing,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 289-292, May 2011.

C051. Yong Chen, Pui-In Mak, Yumei Zhou, Hao Ju, Li Zhang, He Qian, Yan Wang, Zhiping Yu, “A 6-bit 1.3-GS/s Flash ADC using a Gain-Compensated THA and an Offset-Averaging Preamplifier Array,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, May 2011.

C050. Yong Chen, Pui-In Mak, Yumei Zhou, Hao Ju, Li Zhang, He Qian, Yan Wang, Zhiping Yu, “A Fast Lock-in PLL Using a Quadratic V-I Self-Tracking Charge Pump and a Replica-Biased Ring VCO,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1872-1875, May 2011.

C049. Liu Miao, Pui-In Mak, Zushu Yan and R. P. Martins, “A High-Voltage-Enabled Recycling Folded Cascode OpAmp for Nanoscale CMOS Technologies,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 33-36, May 2011.

C048. Weng-Fai Cheng, Ka-Fai Un, Pui-In Mak, and R. P. Martins, “A Linearity-Improved Ultra-Wideband Balun-LNA for Cognitive Radio,” IEEE International Conference on Computer as a Tool and Conference on Telecommunications (EUROCON), pp. 1-4, Apr. 2011.

C047. Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai, “Outlier Detection for Single-Trial EEG Signal Analysis,” IEEE/EMBS International Conference on Neural Engineering (NER), pp. 478-481, April 2011.

C046. Teng Cao, Xin Wang, Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai, “A High Rate Online SSVEP Based Brain-Computer Interface Speller,” IEEE/EMBS International Conference on Neural Engineering (NER), 465-468, April 2011.

C045. Wenya Nan, Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak and Mang I Vai “A Comparison of Minimum Energy Combination and Canonical Correlation Analysis for SSVEP Detection,” IEEE/EMBS International Conference on Neural Engineering (NER), pp. 469-472, April 2011.

C044. Pui-In Mak and R. P. Martins, “A 0.46mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 172-173,  Feb. 2011. [Chip Olympics]

C043. Chio-In Ieong, Mang-I Vai, Peng-Un Mak, Pui-In Mak, “ECG Heart Beat Detection Via Mathematical Morphology and Quadratic Spline Wavelet Transform,” IEEE International Conference on Consumer Electronics (ICCE), Digest of Technical Papers, pp. 609-610, Jan. 2011.

2010

C042. Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “An Improved Phase-Tagged Stimuli Generation Method in Steady-State Visual Evoked Potential Based Brain-Computer Interface,” International Conference on Biomedical Engineering and Informatics (BMEI), pp. 745-749, Oct. 2010.

C041. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “Gaussian Mixture Model Based on Genetic Algorithm for Brain-Computer Interfaces,” International Congress on Image and Signal Processing (CISP), pp. 4079-4083, Oct. 2010.

C040. Boyu Wang, Chiman Wong, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “Trial Pruning for Classification of Single-Trial EEG Data during Motor Imagery,” International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), pp. 4666 - 4669, Sept., 2010.

C039. Tan-Tan Zhang, Jin-Tao Li, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Sio-Hang Pun, Wan Feng and R. P. Martins, “A 28-μW EEG Readout Front-End Utilizing a Current-Mode Instrumentation Amplifier and a Source-Follower-Based LPF,” IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), pp. 396-399, Sept. 2010.

C038. Chon-Teng Ma, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Sio-Hang Pun, Wan Feng and R. P. Martins, “A Novel Response-Translating Lowpass Filter Achieving 1.4-to-15-Hz Tunable Cutoff for Biopotential Acquisition Systems,” IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), pp. 404-407, Sept. 2010. [Silver Leaf Certificate]

C037. Miguel A. Martins, Ka-Fai Un, Pui-In Mak and R. P. Martins, “Differential SC Biquad Filter with Hybrid Utilization of OpAmp and Comparator-Based Circuit,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1276-1279, May 2010.

C036. Yong Chen, Pui-In Mak and Yumei Zhou, “Source-Follower-Based Biquad Cell for Continuous-Time Zero-Pole Type Filters,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3629-3632, May 2010.

2009

C035. Boyu Wang, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “EEG Signals Classification for Brain Computer Interfaces Based on Gaussian Process Classifier,” International Conference on Information, Communications and Signal Processing (ICICS), pp.1-5, Dec. 2009.

C034. Jin Tao Li, Sio Hang Pun, Mang I Vai, Peng Un Mak, Pui-In Mak and Feng Wan, “Design Considerations of Current Mode Instrumentation Amplifier for Portable Biosignal Acquisition System,” IEEE Biomedical Circuits and Systems Conference (BIOCAS), pp. 9-12, Nov. 2009.

C033. Keng-Wai Lo, Pui-In Mak and R. P. Martins, “An Active-Balun LNA with Forestage-Poststage Gain Controls for VHF/UHF Mobile-TV Tuners,” IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), pp. 165-168, Nov. 2009. [Gold Leaf Certificate]

C032. Chang-Hao Chen, Pui-In Mak, Tan-Tan Zhang, Mang-I Vai, Peng-Un Mak, Sio-Hang Pun, Wan Feng and R. P. Martins, “A 2.4 Hz-to-10 kHz-Tunable Biopotential Filter Using a Novel Capacitor Multiplier,” IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), pp. 372-375, Nov. 2009.

C031. Jin Tao Li, Sio Hang Pun, Mang I Vai, Peng Un Mak, Pui-In Mak and Feng Wan, “CMRR in Instrumentation Amplifier for BME Signal – Using Op Amp Power Supply Current Sensing Technique”, Chinese Biomedical Engineering Joint Annual Conference (CBEJAC), pp. 741-744, Oct. 2009.

C030. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui-In Mak, and Mang I Vai, “Comparison of Different Classification Methods for EEG-Based Brain Computer Interfaces: A Case Study,” IEEE International Conference on Information and Automation (ICIA), pp. 1416-1421, Jun. 2009.

C029. Pui-In Mak and R. P. Martins, “A 2xVDD–Enabled TV-Tuner RF Front-End Supporting TV-GSM Interoperation in 90nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers, pp. 278-279, Jun. 2009.

C028. Ka-Fai Un, Pui-In Mak and R. P. Martins, “An Open-Loop Octave-Phase Local-Oscillator Generator with High-Precision Correlated Phases for VHF/UHF Mobile-TV Tuners,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 433-436, May 2009.

C027. Chon-Teng Ma, Pui-In Mak, Mang-I Vai, Peng-Un Mak, Sio-Hang Pun, Feng Wan and R. P. Martins, “A 90nm CMOS Bio-Potential Signal Readout Front-End with Improved Powerline Interference Rejection,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 665-668, May 2009.

2003 - 2008

C026. Ka-Fai Un, Pui-In Mak and R. P. Martins, “A DC-Offset-Compensated, CT/DT Hybrid Filter with Process-Insensitive Cutoff and Low In-Band Group-Delay Variation for WLAN Receivers,” IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), pp.1360-1363, Dec. 2008. [Merit Paper Award]

C025. Chon-Teng Ma, Pui-In Mak, Vai-Mang I, Peng-Un Mak, Sio-Hang Pun and R. P. Martins, “Design of a Low-Power Low-Noise Bio-Potential Readout Front-End in CMOS,” Regional Inter-University Graduate Conference on Electrical Engineering (RIUGCEE), Xi’an, China, July 2008.

C024. Pui-In Mak, Ka-Hou Ao Ieong and R. P. Martins, “An Open-Source-Input, Ultra-Wideband LNA with Mixed-Voltage ESD Protection for Full-Band (170-to-1700 MHz) Mobile TV Tuners,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 668-671, May 2008.

C023. Pui-In Mak, “Views, Experience, and Prospects for Education in Circuits and Systems” IEEE Circuits and Systems Society Education Workshop, p. 9, May 22, 2008.

C022. Weng-Ieng Mok, Pui-In Mak, Seng-Pan U and R. P. Martins, “A Highly-Linear Successive-Approximation Front-End Digitizer with Built-in Sample-and-Hold Function for Pipeline/Two-Step ADC,” IEEE International Symposium on Circuits and Systems (ISCAS), New Orleans, USA, May 2007.

C021. Weng-Ieng Mok, Pui-In Mak, Seng-Pan U and R. P. Martins, “A Novel Architecture of Comparator-Mismatch-Free Multi-bit Pipeline ADC,”  Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC), Session of Circuit and System I, Paper 3, Macao, China, Jul. 2006. 

C020. Pui-In Mak, Seng-Pan U, R.P. Martins, “A 1V 14mW-per-Channel Flexible-IF CMOS Analog-Baseband IC for 802.11a/b/g Receivers,” IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers, pp. 288-289, USA, Jun. 2006.

C019. Pui-In Mak, Seng-Pan U, R.P. Martins, “Design and Test Strategy underlying a Low-Voltage Analog-Baseband IC for 802.11a/b/g WLAN SiP Receivers,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2473-2476, Greece, May 2006. 

C018. Pui-In Mak, Seng-Pan U, R.P. Martins, “A 1-V Transient-Free and DC-Offset-Canceled PGA with a 17.1-MHz Constant Bandwidth over 52-dB Control Range in 0.35-µm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), pp. 649-652, USA, Sept. 2005.

C017. Pui-In Mak, Seng-Pan U, R. P. Martins, “Multistandard-Compliant Receiver Architecture with low-voltage Implementation,” IEEE Ph.D. Research In Micro-Electronics & Electronics (PRIME), pp. 223-226, Lausanne, Switzerland, Jul. 2005.

C016. Weng-Ieng Mok, Pui-In Mak, Seng-Pan U, R. P. Martins, “On-Chip Unsteady Reference Voltage Compensation Techniques for Very-High- Speed Pipelined ADC,” Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC), pp. 276-280, Hong Kong, China, Jun. 2005. [Merit Paper Award]

C015. Pui-In Mak, Seng-Pan U, R. P. Martins, “A 1-V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35-μm CMOS for Low-Cost Wireless SiP,” IEEE/ACM Design Automation Conference (DAC), Student Design Contest Session, Anaheim, USA, Jun. 2005. [Student Paper Award]

C014. Ka-Hou Ao Ieong, Chong-Yin Fok, Pui-In Mak, Seng-Pan U and R. P. Martins, “A Frequency Up-Conversion and Two-Step Channel Selection Embedded CMOS D/A Interface,” IEEE International Symposium on Circuits and Systems (ISCAS), pp.392-395, Kobe, Japan, May 2005. 

C013. Pui-In Mak, Seng-Pan U, R. P. Martins, “A 1-V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35-μm CMOS for Low-Cost Wireless SiP,” IEEE International Solid-State Circuits Conference (ISSCC), Student Design Contest Session, San Francisco, USA, Feb. 2005. [Student Paper Award] 

C012. Pui-In Mak, Ka-Hou Ao Ieong, Chong-Yin Fok, Seng-Pan U, R. P. Martins, “A Complex Low-IF Transceiver Architecture for Relaxing Phase Noise and Settling Time Requirements of RF PLL-FS,” IEEJ International Analog VLSI Workshop (AVLSIWS), pp. 221-226, Macao, China, Oct. 2004. 

C011. Pui-In Mak, Seng-Pan U, R. P. Martins, “A Power-and-Area Efficient, Multifunctional CMOS A/D Interface for a Low-IF/Zero-IF Reconfigurable Receiver,” IEEJ International Analog VLSI Workshop (AVLSIWS), pp. 233-238, Macao, China, Oct. 2004. [Best Paper Award]

C010. Weng-Ieng Mok, Pui-In Mak, Seng-Pan U, R. P. Martins, “Model, Characterization and Solutions of Unstable Reference Voltage for Very-High-Speed Pipelined A/D Converters,” IEEJ  International Analog VLSI Workshop (AVLSIWS), pp. 138-143, Macau SAR, China, Oct. 2004. 

C009. Weng-Ieng Mok, Pui-In Mak, Seng-Pan U, R. P. Martins, “Modeling of Noise Sources in Reference Voltage Generator for Very-High-Speed Pipelined ADC,” IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), vol.1 , pp. 5-8, Hiroshima, Japan, Jul. 2004. [Student Paper Award]

C008. Pui-In Mak, Seng-Pan U, R.P. Martins,, “A Low-IF/Zero-IF Reconfigurable Receiver with Two-Step Channel Selection Technique for Multistandard Applications,” IEEE International Symposium on Circuits and Systems (ISCAS), pp.417-420, Vancouver, Canada, May 2004. 

C007. Pui-In Mak, Kin-Kwan Ma, Weng-Ieng Mok, Chi-Sam Sou, Kit-Man Ho, Cheng-Man Ng, Seng-Pan U, R. P. Martins, “An I/Q-Multiplexed and Op-Amp-Shared CMOS Pipelined ADC with an A-DQS S/H Front-End for Two-Step-Channel-Select Low-IF Receiver,” IEEE International Symposium on Circuits and Systems (ISCAS), pp.1068-1071, Vancouver, Canada, May 2004. 

C006. Pui-In Mak, Man-Chung Wong and Seng-Pan U “A 3-D PWM Control, H-Bridge Tri-level Inverter for Power Quality Compensation in Three-Phase Four-Wired Systems,” IEEE International Symposium on Circuits and Systems (ISCAS), pp.948-951, Vancouver, Canada, May 2004.

C005. Pui-In Mak, Seng-Pan U, R. P. Martins, “A Front-to-Back-end Modeling of I/Q Mismatch Effects in a Complex-IF receiver for large image-rejection,” IEEE International Conference of Electronics, Circuits and Systems (ICECS), pp. 631-634, Sharjah, United Arab Emirates, Dec. 2003. 

C004. Pui-In Mak, Chi-Sam Sou, Seng-Pan U, R.P. Martins, “Frequency-Downconversion and Channel Selection Sample-and-Hold circuit with A-DQS technique for Complex Low-IF Wireless Receivers,” IEEE International Conference of Electronics, Circuits and Systems (ICECS), pp. 479-482, Sharjah, United Arab Emirates, Dec. 2003. 

C003. Pui-In Mak, Seng-Pan U, R. P. Martins, “A Programmable Switched-Capacitor A-DQS Frequency Downconverter for Two-Step Channel Selection Wireless Receiver,” IEEE International Conference on ASIC (ASICON), pp.573-576, Beijing, China, Oct. 2003. [Student Paper Award]

C002. Pui-In Mak, Weng-Ieng Mok, Seng-Pan U, R. P. Martins, “I/Q Imbalance Modeling of Quadrature Transceiver Analog Front-Ends in SIMULINK,” IEEE International Conference on Vehicular Technology, pp. 2371-2374, Orlando, Florida, USA. Oct. 2003. 

C001. Pui-In Mak, Seng-Pan U, R. P. Martins, “A Novel IF Channel Selection Technique by Analog-Double Quadrature Sampling for Complex low-IF Receivers,” IEEE International Conference of Communication Technology, pp.1238-1241, Beijing, China, Apr. 2003.