PAPERS

Papers

Low-temperature laser crystallization of Ge layers grown on MgO substrates

Jongyeon Baek*, Seung-Hwan Kim, Heejae Jeong, Manh-Cuong Nguyen*, DaeyoonBaek, Seunghun Baik, AnHoang-Thuy Nguyen*,

Jong-Hwa Baek*, Hyung-jun Kim, Hyuk-Jun Kwon, and Rino Choi*

Applied Surface Science 609, 155368

2023

 Impact of Pt grain size on ferroelectric properties of zirconium hafnium oxide by chemical solution deposition

An Hoang-Thuy Nguyen, Manh-Cuong Nguyen, Anh-Duy Nguyen, Ji-Yong Yim, Jeong-Han Kim, No-Hwal Park, Seung-Joon Jeon, Daewoong Kwon, 

and Rino Choi*

Nano Convergence 9, 45

2022

Defect Characterization in Floating Body Transistors using a Single Pulse Charge Pumping Method

Manh-Cuong Nguyen, An Hoang-Thuy Nguyen, Jiyoung Yim, Anh-Duy Nguyen, Mingyu Kim, Jeonghan Kim, Jongyeon Baek, ...

Journal of Vacuum Science & Technology B 39, 053205

2021

Low-temperature dopant activation using nanosecond ultra-violet laser annealing for monolithic 3D integration

Jin-Hyun Kim*, Hyoung-Min Ji*, Manh-Cuong Nguyen*, An Hoang-Thuy Ngueyn*, Sang-Woo Kim*, Jongyeon Baek*, Jiyoung Kim,

and Rino Choi*

Thin Solid Films 735, 138864

2021

Double-gate thin film transistor with suspended-gate applicable to tactile force sensor

An Hoang-Thuy Nguyen, Manh-Cuong Nguyen, Seongyong Cho, Anh-Duy Nguyen, Hyewon Kim, ...

Nano Convergence 7, 31

2020

Lateral Profiling of Defects and Charges in Oxide Semiconductor Channel Thin-Film Transistors

Manh-Cuong Nguyen, An Hoang-Thuy Nguyen, Hye-Won Kim, Jiyeon Yoon, Young-Chol Seok, ...

IEEE Transactions on Electron Devices, vol. 67, no. 10, pp. 4234-4237

2020

Improving Electrical Stability of a-InGaZnO Thin-Film Transistors with Thermally Deposited Self-Assembled Monolayers

Mingyu Kim, Seongyoung Cho, Younseob Shin, Yeongcheol Seok, Hyewon Kim, Jiyeon Yoon, ...

Electronic Material Letters 16, 451-456

2020

Effect of Strontium Doping on Indium Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Solution Process

Jin-Hyun Kim, Manh-Cuong Nguyen, An Hoang-Thuy Nguyen, Sang-Woo Kim, Su-Jin Choi,  Jong-Gyu Cheon, ...

Journal of Vacuum Science & Technology B, 37:3

2019

Low-Temperature Fabrication of High Quality Gate Insulator in Metal–Oxide–Semiconductor Capacitor Using Laser Annealing

KM Yu, HM Ji, MC Nguyen, AHT Nguyen, SJ Choi, JG Cheon, JH Kim, ...

IEEE Electron Device Letters 40 (2), 167-170

2019

Silver-doped tin oxide for electrical property enhancement in p-type channel thin film transistor

AHT Nguyen, MC Nguyen, H Ji, J Cheon, K Yu, J Kim, S Kim, S Cho, ...

Journal of Vacuum Science & Technology B, Nanotechnology and …

2018

Deposition of Fine Linewidth Silver Layer using a Modified Laser-induced Forward Transfer Technique

J Cheon, MC Nguyen, AHT Nguyen, S Choi, HM Ji, SW Kim, KM Yu, ...

Journal of the Korean Physical Society 73 (9), 1279-1282

2018

Trap Profiling an In-Ga-Zn-O Thin Film Transistor by Using a Transmission Line Model Incorporating the Conductance Method

MC Nguyen, AHT Nguyen, H Ji, S Choi, J Cheon, KM Yu, SY Cho, JH Kim, ...

Journal of the Korean Physical Society 73 (5), 612-615

2018

Solution-Processed Rb-Doped Indium Zinc Oxide Thin-Film Transistors

SW Kim, MC Nguyen, AHT Nguyen, SJ Choi, HM Ji, JG Cheon, KM Yu, ...

IEEE Electron Device Letters 39 (9), 1330-1333

2018

Application of Single-Pulse Charge Pumping Method on Evaluation of Indium Gallium Zinc Oxide Thin-Film Transistors

MC Nguyen, AHT Nguyen, H Ji, J Cheon, JH Kim, KM Yu, SY Cho, ...

IEEE Transactions on Electron Devices 65 (9), 3786-3790

2018

Electrical characterization of the self-heating effect in oxide semiconductor thin-film transistors using pulse-based measurements

MC Nguyen, N On, H Ji, AHT Nguyen, S Choi, J Cheon, KM Yu, SY Cho, ...

IEEE Transactions on Electron Devices 65 (6), 2492-2497

2

2018

Ge 기반의 소자에서 Y-ZrO2 게이트 유전체를 이용한 EOT 스케일링 (~ 5.7 A) 및 누설 전류와 계면 트랩의 감소

TI Lee, MJ Kim, MC Nguyen, H Ahn, JM Moon, TY Lee, HY Yu, R Choi, ...

제 25 회 한국반도체학술대회

2018

Performance improvement of p-channel tin monoxide transistors with a solution-processed zirconium oxide gate dielectric

A Azmi, J Lee, TJ Gim, R Choi, JK Jeong

IEEE Electron Device Letters 38 (11), 1543-1546

8

2017

Electrical performance enhancement of p-type tin oxide channel thin film transistor using aluminum doping

AHT Nguyen, MC Nguyen, J Choi, S Han, J Kim, R Choi

Thin Solid Films 641, 24-27

7

2017

Effect of Li-doping on low temperature solution-processed indium–zinc oxide thin film transistors

SY Han, MC Nguyen, AHT Nguyen, JW Choi, JY Kim, R Choi

Thin Solid Films 641, 19-23

6

2017

Low-Temperature Solution-Based In2O3 Channel Formation for Thin-Film Transistors Using a Visible Laser-Assisted Combustion Process

JW Choi, SY Han, MC Nguyen, AHT Nguyen, JY Kim, S Choi, J Cheon, ...

IEEE Electron Device Letters 38 (9), 1259-1262

2

2017

Effects of H 2 High-pressure Annealing on HfO 2/Al 2 O 3/In 0.53 Ga 0.47 As Capacitors: Chemical Composition and Electrical Characteristics

S Choi, Y An, C Lee, J Song, MC Nguyen, YC Byun, R Choi, PC McIntyre, ...

Scientific reports 7 (1), 9769

2017

Enhancement of the High Temperature Thermal Stability of Ni Based Silicide by Multiple Metal Layer Stacking

JW Choi, MC Nguyen, AHT Nguyen, SY Han, JY Kim, R Choi

Nanoscience and Nanotechnology Letters 9 (4), 551-555

2017

Influence of Fast Charging on Accuracy of Mobility in  -InHfZnO Thin-Film Transistor

T Kim, R Choi, S Jeon

IEEE Electron Device Letters 38 (2), 203-206

10

2017

The effect of interfacial dipoles on the metal-double interlayers-semiconductor structure and their application in contact resispubs.acs.org/doi/abs/10.1021/acsami.6b10376tivity reduction

SW Kim, SH Kim, GS Kim, C Choi, R Choi, HY Yu

ACS applied materials & interfaces 8 (51), 35614-35620

11

2016

A Relaxation Corrected Voltage Ramp Stress Measurement for Fast Wafer Level Reliability

DH Lee, HJ Bang, MC Nguyen, AHT Nguyen, S Kang, JW Choi, SY Han, ...

Journal of Nanoscience and Nanotechnology 16 (11), 11133-11136

2016

Bonding based channel transfer and low temperature process for monolithic 3D integration platform development

R Choi, HY Yu, H Kim, HY Ryu, HK Bae, KK Choi, YW Cha, C Choi

2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference …

2

2016

Effect of high pressure hydrogen or deuterium anneal on polysilicon channel field effect transistors

HJ Bang, MC Nguyen, DH Lee, AHT Nguyen, S Kang, JW Choi, SY Han, ...

Journal of Nanoscience and Nanotechnology 16 (10), 10341-10345

1

2016

Trap Distribution and Time Constant Extraction Using Deep Level Trap Technique for Thin Film Transistors

MC Nguyen, DT Tong, DH Lee, HJ Bang, S Kang, AHT Nguyen, JW Choi, ...

Journal of Nanoscience and Nanotechnology 16 (10), 10337-10340

2016

Origin of the channel width dependent field effect mobility of graphene field effect transistors

YG Lee, SK Lim, CG Kang, YJ Kim, DH Choi, HJ Chung, R Choi, BH Lee

Microelectronic Engineering 163, 55-59

5

2016

Controlling the oxide states in SnOx by Al doping to enhance electrical performance of p-type thin film transistors

AN HOANG-THUY, MC NGUYEN, C Jae-Won, HAN Soo-Yeun, ...

한국진공학회 학술발표회초록집, 975-975

2016

Passivation of deep trap states in InP MOSCAPs characterized by isothermal deep level transient spectroscopy

MC NGUYEN, S Jeong-Keun, C Sungho, C Jae-Won, HAN Soo-Yeun, ...

한국진공학회 학술발표회초록집, 614-614

2016

Characterization of high pressure hydrogen annealing effect on polysilicon channel field effect transistors using isothermal deep level trap spectroscopy

MC Nguyen, AHT Nguyen, JW Choi, SY Han, JY Kim, R Choi, C Choi

2016 International Conference on IC Design and Technology (ICICDT), 1-4

1

2016

Li-assisted low-temperature phase transitions in solution-processed indium oxide films for high-performance thin film transistor

MC Nguyen, M Jang, DH Lee, HJ Bang, M Lee, JK Jeong, H Yang, R Choi

Scientific reports 6, 25079

14

2016

Non-Alloyed Ohmic Contacts on GaAs Using Metal-Interlayer-Semiconductor Structure With SF6Plasma Treatment

SH Kim, GS Kim, SW Kim, JK Kim, C Choi, JH Park, R Choi, HY Yu

IEEE Electron Device Letters 37 (4), 373-376

9

2016

Transport property improvements of amorphous In–Zn–O transistors with printed Cu contacts via rapid temperature annealing

JY Won, YH Han, HJ Seol, KJ Lee, R Choi, JK Jeong

Thin Solid Films 603, 268-271

3

2016

High performance metal oxide field-effect transistors with a reverse offset printed cu source/drain electrode

YH Han, JY Won, HS Yoo, JH Kim, R Choi, JK Jeong

ACS applied materials & interfaces 8 (2), 1156-1163

6

2016

Remote plasma atomic layer deposited Al2O3 4H SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing

SC Heo, D Lim, WS Jung, R Choi, HY Yu, C Choi

Microelectronic Engineering 147, 239-243

7

2015

High performance thin film transistors using low-temperature solution-processed Li-incorporated In2O3/ZrO2 stacks

MC Nguyen, SW You, DT Tong, HJ Bang, DH Lee, M Hasan, JK Jeong, ...

Microelectronic Engineering 147, 27-30

6

2015

Effects of La Incorporation in Hf Based Dielectric on Leakage Conduction and Carrier Scattering Mechanisms

SW You, DH Lee, MC Nguyen, YS Jeon, DT Tong, HJ Bang, JK Jeong, ...

Journal of nanoscience and nanotechnology 15 (10), 7590-7592

2015

High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

M Hasan, MC Nguyen, H Kim, SW You, YS Jeon, DT Tong, DH Lee, ...

Thin Solid Films 589, 90-94

14

2015

Analysis of trap distribution in polysilicon channel transistors using the variable amplitude charge pumping method

MC Nguyen, YS Jeon, DT Tong, SW You, JK Jeong, B Kim, J Ahn, ...

Solid-State Electronics 104, 86-89

5

2015

Solution-Processable LaZrOx/SiO2 Gate Dielectric at Low Temperature of 180 °C for High-Performance Metal Oxide Field-Effect Transistors

SY Je, BG Son, HG Kim, MY Park, LM Do, R Choi, JK Jeong

ACS applied materials & interfaces 6 (21), 18693-18703

34

2014

Achieving High Field-Effect Mobility Exceeding 50 cm /Vs in In-Zn-Sn-O Thin-Film Transistors

JH Song, KS Kim, YG Mo, R Choi, JK Jeong

IEEE Electron Device Letters 35 (8), 853-855

37

2014

Intrinsic time zero dielectric breakdown characteristics of HfAlO alloys

JJ Kim, M Kim, U Jung, KE Chang, S Lee, Y Kim, YG Lee, R Choi, BH Lee

IEEE Transactions on Electron Devices 60 (11), 3683-3689

10

2013

Improved electrical properties of solution-processed ZrO2 gate dielectric for large-area flexible electronics

M Hasan, M Jang, DH Kim, MC Nguyen, H Yang, JK Jeong, R Choi

Japanese Journal of Applied Physics 52 (10R), 100206

4

2013

Effects of composition and thickness of TiN metal gate on the equivalent oxide thickness and flat-band voltage in metal oxide semiconductor devices

SH Lee, R Choi, C Choi

Microelectronic Engineering 109, 160-162

22

2013

High‐performance In–Zn–O thin‐film transistors with a soluble processed ZrO2 gate insulator

BG Son, SY Je, HJ Kim, CK Lee, CK Lee, AY Hwang, JY Won, JH Song, ...

physica status solidi (RRL)–Rapid Research Letters 7 (7), 485-488

28

2013

Improvement in photo-bias stability of high-mobility indium zinc oxide thin-film transistors by oxygen high-pressure annealing

SY Park, JH Song, CK Lee, BG Son, CK Lee, HJ Kim, R Choi, YJ Choi, ...

IEEE Electron Device Letters 34 (7), 894-896

31

2013

Facile one-step synthesis of magnesium-doped ZnO nanoparticles: optical properties and their device applications

JY Oh, SC Lim, SD Ahn, SS Lee, KI Cho, JB Koo, R Choi, M Hasan

Journal of Physics D: Applied Physics 46 (28), 285101

18

2013

Suppression in negative bias illumination stress instability of zinc tin oxide transistor by insertion of thermal TiOx films

CK Lee, HY Jung, SY Park, BG Son, CK Lee, HJ Kim, R Choi, DH Kim, ...

IEEE Electron Device Letters 34 (2), 253-255

12

2013

Investigation of co-sputtered LiZnSnO thin film transistors

HY Jung, SY Park, JI Kim, H Yang, R Choi, DH Kim, JU Bae, WS Shin, ...

Thin Solid Films 522, 435-440

9

2012

The electrical and structural properties of HfO {sub 2}/SrTiO {sub 3} stacked gate dielectric with TiN metal gate electrode

C Choi, R Choi

2012

The electrical and structural properties of HfO2/SrTiO3 stacked gate dielectric with TiN metal gate electrode

C Choi, R Choi

Thin Solid Films 521, 42-44

9

2012

Effect of Al incorporation on the performance and reliability of p-type metal-oxide-semiconductor field effect transistors

YU Heo, TY Jang, D Kim, JS Chang, MC Nguyen, M Hasan, H Yang, ...

Thin Solid Films 521, 119-122

2012

Dual metal gates using one metal to alter work function of another metal

BH Lee, SH Bae, K Choi, R Choi, C Huffman, P Majhi, JH Sim, SC Song, ...

US Patent App. 13/525,840

5

2012

Interfacial and electrical properties of HfO2 gate dielectrics grown on GaAs by atomic layer deposition using different oxidants

YC Byun, C Mahata, CH An, J Oh, R Choi, H Kim

Journal of Physics D: Applied Physics 45 (43), 435305

17

2012

Dual metal gates using one metal to alter work function of another metal

BH Lee, SH Bae, K Choi, R Choi, C Huffman, P Majhi, JH Sim, SC Song, ...

US Patent 8,236,686

7

2012

Gate Engineering in TiN/La/TiN and TiLaN Metal Layers on Atomic-Layer-Deposited 

HK Kim, SY Lee, IH Yu, TJ Park, R Choi, CS Hwang

IEEE Electron Device Letters 33 (7), 955-957

16

2012

Improvement in the device performance of tin-doped indium oxide transistor by oxygen high pressure annealing at 150 C

S Yeob Park, K Hwan Ji, H Yoon Jung, JI Kim, R Choi, K Seok Son, ...

Applied Physics Letters 100 (16), 162108

40

2012

Suppressed Thermally Induced Flatband Voltage Instabilities with Binary Noble Metal Gated Metal–Oxide–Semiconductor Capacitors

C Choi, J Ahn, R Choi

Japanese Journal of Applied Physics 51 (2S), 02BA05

4

2012

Effect of La incorporation on reliability characteristics of metal–oxide-semiconductor capacitors with hafnium based high-k dielectrics

TW Kim, TY Jang, D Kim, JW Kim, JK Jeong, R Choi, MS Lee, H Kim

Microelectronic Engineering 89, 31-33

5

2012

Improvement in both mobility and bias stability of ZnSnO transistors by inserting ultra-thin InSnO layer at the gate insulator/channel interface

JI Kim, K Hwan Ji, H Yoon Jung, S Yeob Park, R Choi, M Jang, H Yang, ...

Applied Physics Letters 99 (12), 122102

44

2011

Bias polarity dependence of charge trapping behaviours in La-incorporated hafnium-based dielectric

TY Jang, DH Kim, J Kim, JS Chang, CN Manh, M Hasan, JK Jeong, ...

Applied Physics Letters 99 (8), 082905

1

2011

Characterization and passivation of band gap states in metal-oxide-semiconductor field effect transistors with polycrystalline silicon channel

TY Jang, DH Kim, J Kim, JS Chang, H Yang, JK Jeong, D Lee, H Hwang, ...

18th IEEE International Symposium on the Physical and Failure Analysis of …

1

2011

Bias dependence of PBTI degradation mechanism in metal-oxide-semiconductor field effect transistors with La-incorporated hafnium-based dielectric

TY Jang, DH Kim, J Kim, JS Chang, JK Jeong, YU Heo, YK Kim, C Choi, ...

Microelectronic Engineering 88 (7), 1373-1375

5

2011

Comprehensive studies of the degradation mechanism in amorphous InGaZnO transistors by the negative bias illumination stress

KH Ji, JI Kim, HY Jung, SY Park, R Choi, YG Mo, JK Jeong

Microelectronic Engineering 88 (7), 1412-1416

36

2011

Ti-doped indium tin oxide thin films for transparent field-effect transistors: Control of charge-carrier density and crystalline structure

JI Kim, KH Ji, M Jang, H Yang, R Choi, JK Jeong

ACS applied materials & interfaces 3 (7), 2522-2528

9

2011

Fast transient charging at the graphene/ interface causing hysteretic device characteristics

YG Lee, CG Kang, UJ Jung, JJ Kim, HJ Hwang, HJ Chung, S Seo, R Choi, ...

Applied Physics Letters 98 (18), 183508

122

2011

Investigation of light-induced bias instability in Hf-In-Zn-O thin film transistors: A cation combinatorial approach

JY Kwon, JS Jung, KS Son, KH Lee, JS Park, TS Kim, JS Park, R Choi, ...

Journal of The Electrochemical Society 158 (4), H433-H437

26

2011

Effect of high-pressure oxygen annealing on negative bias illumination stress-induced instability of InGaZnO thin film transistors

KH Ji, JI Kim, HY Jung, SY Park, R Choi, UK Kim, CS Hwang, D Lee, ...

Applied Physics Letters 98 (10), 103509

213

2011

Fast transient charging at the graphene

YGON LEE, CGOO KANG, UKJIN JUNG, JINJU KIM, HJUN HWANG, ...

Applied physics letters 98 (18)

1

2011

Performance and reliability analysis of -type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metal

HB Park, CS Park, CY Kang, SC Song, BH Lee, TW Kim, TY Jang, DH Kim, ...

Journal of Vacuum Science & Technology B, Nanotechnology and …

1

2010

The impact of gate dielectric materials on the light-induced bias instability in Hf–In–Zn–O thin film transistor

JY Kwon, JS Jung, KS Son, KH Lee, JS Park, TS Kim, JS Park, R Choi, ...

Applied Physics Letters 97 (18), 183503

108

2010

The effect of density-of-state on the temperature and gate bias-induced instability of InGaZnO thin film transistors

KH Ji, JI Kim, HY Jung, SY Park, YG Mo, JH Jeong, JY Kwon, MK Ryu, ...

Journal of The Electrochemical Society 157 (11), H983-H986

54

2010

Effect of Trap Profile on Device Characteristics of Metal–Oxide–Semiconductor Field Effect Transistors with Hafnium-Based Dielectrics

TY Jang, TW Kim, DH Kim, JW Kim, JK Jeong, R Choi

Japanese Journal of Applied Physics 49 (10R), 101502

2

2010

One-step fabrication and characterization of silica-sheathed ITO nanowires

HW Kim, HS Kim, HG Na, JC Yang, R Choi, JK Jeong, C Lee, DY Kim

Journal of Solid State Chemistry 183 (10), 2490-2495

11

2010

The impact of device configuration on the photon-enhanced negative bias thermal instability of GaInZnO thin film transistors

JY Kwon, KS Son, JS Jung, KH Lee, JS Park, TS Kim, KH Ji, R Choi, ...

Electrochemical and Solid-State Letters 13 (6), H213-H215

64

2010

Characterization of fast charge trapping in bias temperature instability in metal-oxide-semiconductor field effect transistor with high dielectric constant

M Jo, S Kim, J Lee, S Jung, JB Park, HS Jung, R Choi, H Hwang

Applied Physics Letters 96 (14), 142110

9

2010

Effect of Fast Components in Threshold-Voltage Shift on Bias Temperature Instability in High- MOSFETs

M Jo, S Kim, S Jung, JB Park, J Lee, HS Jung, R Choi, H Hwang

IEEE Electron Device Letters 31 (4), 287-289

2

2010

高 k 栅介质中电荷俘获行为的脉冲特征分析

Y Zhao, CD Young, R Choi, BH Lee

电子设计应用, 32

1

2010

The Pulsed Id-Vg methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics

CD Young, D Heh, RN Choi, BH Lee, G Bersuker

JSTS: Journal of Semiconductor Technology and Science 10 (2), 79-99

21

2010

The effect of moisture on the photon-enhanced negative bias thermal instability in Ga–In–Zn–O thin film transistors

KH Lee, JS Jung, KS Son, JS Park, TS Kim, R Choi, JK Jeong, JY Kwon, ...

Applied physics letters 95 (23), 232106

289

2009

Device Performance and Reliability Characteristics of Tantalum–Silicon–Nitride Electrode/Hafnium Oxide n-Type Metal–Oxide–Semiconductor Field-Effect Transistor Depending on Electrode Composition

H Park, M Chang, M Jo, R Choi, BH Lee, H Hwang

Japanese Journal of Applied Physics 48 (11R), 116506

10

2009

Effects of a Gd capping layer on electrical characteristics of metal-oxide-semiconductor field effect transistors with a TaC gate electrode and a HfSiON gate dielectric

HB Park, CS Park, CY Kang, SC Song, BH Lee, TY Jang, TW Kim, ...

Applied Physics Letters 95 (19), 192113

8

2009

Dominant device instability mechanism in scaled metal–oxide–semiconductor field-effect transistors with hafnium oxide dielectric

R Choi, TW Kim, H Park, BH Lee

Japanese Journal of Applied Physics 48 (9R), 091404

2

2009

Methods for measuring capacitance

KP Cheung, D Heh, BH Lee, R Choi

US Patent 7,548,067

2

2009

Pulsed –  Methodology and Its Application to Electron-Trapping Characterization and Defect Density Profiling

CD Young, Y Zhao, D Heh, R Choi, BH Lee, G Bersuker

IEEE Transactions on electron devices 56 (6), 1322-1329

58

2009

Dielectric Breakdown characteristics of stacked high-k dielectrics

BH Lee, R Choi

ECS Transactions 19 (2), 289-299

1

2009

Stress field analysis to understand the breakdown characteristics of stacked high- dielectrics

BH Lee, C Kang, R Choi, HD Lee, G Bersuker

Applied Physics Letters 94 (16), 162904

13

2009

Comparison of La-based high-k dielectrics: HfLaSiON and HfLaON

WH Choi, IS Han, HM Kwon, TG Goo, MK Na, OS Yoo, GW Lee, CY Kang, ...

Microelectronic Engineering 86 (3), 268-271

3

2009

Contribution of Interface States and Oxide Traps to the Negative Bias Temperature Instability of High- pMOSFETs

M Jo, M Chang, S Kim, HS Jung, R Choi, H Hwang

IEEE Electron Device Letters 30 (3), 291-293

15

2009

“Smart” TDDB algorithm for investigating degradation in high-κ gate dielectric stacks under constant voltage stress

CD Young, G Bersuker, J Tun, R Choi, D Heh, BH Lee

Microelectronic Engineering 86 (3), 287-290

5

2009

Performance and reliability improvement of HfSiON gate dielectrics using chlorine plasma treatment

HB Park, B Ju, CY Kang, C Park, CS Park, BH Lee, TW Kim, BS Kim, ...

Applied Physics Letters 94 (4), 042911

5

2009

Hot carrier degradation in  fin shaped field effect transistor with different substrate orientations

CD Young, JW Yang, K Matthews, S Suthram, MM Hussain, G Bersuker, ...

Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …

10

2009

Reliability characteristics of La-doped high-k/metal gate nMOSFETs

CY Kang, R Choi, BH Lee, R Jammy

JSTS: Journal of Semiconductor Technology and Science 9 (3), 166-173

6

2009

Effective surface passivation methodologies for high performance germanium metal oxide semiconductor field effect transistors

HJ Na, JC Lee, D Heh, P Sivasubramani, PD Kirsch, JW Oh, P Majhi, ...

Applied Physics Letters 93 (19), 192115

7

2008

A novel approach to characterization of progressive breakdown in high-k/metal gate stacks

R Pagano, S Lombardo, F Palumbo, P Kirsch, SA Krishnan, C Young, ...

Microelectronics Reliability 48 (11-12), 1759-1764

30

2008

Origin of tensile stress in the Si substrate induced by  metal gate/high- dielectric gate stack

JG Wang, J Kim, CY Kang, BH Lee, R Jammy, R Choi, MJ Kim

Applied Physics Letters 93 (16), 161913

4

2008

An Accurate–Measurement Method for Highly Leaky Devices—Part I

Y Wang, KP Cheung, R Choi, BH Lee

IEEE Transactions on Electron Devices 55 (9), 2429-2436

13

2008

An Accurate Capacitance–Voltage Measurement Method for Highly Leaky Devices—Part II

Y Wang, KP Cheung, R Choi, BH Lee

IEEE Transactions on Electron Devices 55 (9), 2437-2442

8

2008

A new methodology for characterizing the progressive BD of HfO2/SiO2 metal gate stacks

R Pagano, S Lombardo, FR Palumbo, S Carloni, P Kirsch, S Krishnan, ...

ECS Transactions 14 (1), 303-309

2008

Effects ofIn SituPlasma Treatment onoff-State Leakage and Reliability in Metal-Gate/High-Dielectric MOSFETs

KT Lee, CY Kang, BS Ju, R Choi, KS Min, OS Yoo, BH Lee, R Jammy, ...

IEEE Electron Device Letters 29 (6), 565-567

1

2008

Effects of Film Stress Modulation Using TiN Metal Gate on Stress Engineering and Its Impact on Device Characteristics in Metal Gate/High- Dielectric SOI FinFETs

CY Kang, JW Yang, J Oh, R Choi, YJ Suh, HC Floresca, J Kim, M Kim, ...

IEEE Electron Device Letters 29 (5), 487-490

16

2008

Performance and reliability characteristics of the band edge high-k/metal gate nMOSFETs with La-doped Hf-silicate gate dielectrics

CY Kang, CS Park, D Heh, C Young, P Kirsch, HB Park, R Choi, ...

2008 IEEE International Reliability Physics Symposium, 663-664

7

2008

PBTI-Associated High-Temperature Hot Carrier Degradation of nMOSFETs With Metal-Gate/High-  Dielectrics

KT Lee, CY Kang, OS Yoo, R Choi, BH Lee, JC Lee, HD Lee, YH Jeong

IEEE Electron Device Letters 29 (4), 389-391

46

2008

Dipole model explaining high-/metal gate field effect transistor threshold voltage tuning

PD Kirsch, P Sivasubramani, J Huang, CD Young, MA Quevedo-Lopez, ...

Applied Physics Letters 92 (9), 092901

162

2008

Effect of the InterfacialLayer in High-Gate Stacks on NBTI

A Neugroschel, G Bersuker, R Choi, BH Lee

IEEE Transactions on Device and Materials Reliability 8 (1), 47-61

30

2008

Stress-Polarity-Independent Negative Threshold Voltage Shift in HfO2/TiN P-Channel Metal Oxide Semiconductor Field-Effect Transistor

H Park, R Choi, BH Lee, G Bersuker, H Hwang

Japanese Journal of Applied Physics 47 (1R), 136

2008

Reliability of Multiple Oxides Integrated with thin  gate Dielectric on Thick Layers

TH Lee, BH Lee, CY Kang, R Choi, JC Lee

Journal of the Microelectronics and Packaging Society 15 (4), 25-29

2008

The Effect of Nanoscale Nonuniformity of Oxygen Vacancy on Electrical and Reliability Characteristics of  MOSFET Devices

H Park, M Jo, H Choi, M Hasan, R Choi, PD Kirsch, CY Kang, BH Lee, ...

IEEE Electron Device Letters 29 (1), 54-56

20

2008

Demonstration of High-Performance PMOSFETs Using Formula Not Shown-Formula Not Shown-Formula Not Shown Quantum Wells With High-Formula Not Shown/Metal-Gate Stacks

P Majhi, P Kalra, R Harris, KJ Choi, D Heh, J Oh, D Kelly, R Choi, BJ Cho, ...

IEEE ELECTRON DEVICE LETTERS 29 (1), 99-101

2008

Demonstration of High-Performance PMOSFETs Using – – Quantum Wells With High- /Metal-Gate Stacks

P Majhi, P Kalra, R Harris, KJ Choi, D Heh, J Oh, D Kelly, R Choi, BJ Cho, ...

IEEE Electron Device Letters 29 (1), 99-101

14

2008

Breakdown characteristics of nFETs in inversion with metal/HfO2 gate stacks

NA Chowdhury, G Bersuker, C Young, R Choi, S Krishnan, D Misra

Microelectronic Engineering 85 (1), 27-35

28

2008

Metal Electrode/High- Dielectric Gate-Stack Technology for Power Management

BH Lee, SC Song, R Choi, P Kirsch

IEEE Transactions on Electron Devices 55 (1), 8-20

62

2008

Dipole model explaining high-k

PD KIRSCH, P SIVASUBRAMANI, J HUANG, CD YOUNG, ...

Applied physics letters 92 (9)

2008

High Performance pMOSFETs Using Si/Si1-xGex/Si Quantum Wells with High-k/Metal Gate Stacks and Additive Uniaxial Strain for 22 nm Technology Node

S Suthram, P Majhi, G Sun, P Kalra, HR Harris, KJ Choi, D Heh, J Oh, ...

2007 IEEE International Electron Devices Meeting, 727-730

19

2007

Mechanism of Vfb roll-off with High Work function Metal Gate and Low Temperature Oxygen Incorporation to Achieve PMOS Band Edge Work function

SC Song, CS Park, J Price, C Burham, R Choi, HC Wen, K Choi, ...

2007 IEEE International Electron Devices Meeting, 337-340

36

2007

Electric-field-driven dielectric breakdown of metal-insulator-metal hafnium silicate

BH Lee, CY Kang, P Kirsch, D Heh, CD Young, H Park, J Yang, ...

Applied Physics Letters 91 (24), 243514

27

2007

Aggressively scaled high-k gate dielectric with excellent performance and high temperature stability for 32nm and beyond

P Sivasubramani, PD Kirsch, J Huang, C Park, YN Tan, DC Gilmer, ...

2007 IEEE International Electron Devices Meeting, 543-546

10

2007

Impact of flash annealing on performance and reliability of high-κ/metal-gate MOSFETs for sub-45 nm CMOS

P Kalra, P Majhi, D Heh, G Bersuker, C Young, N Vora, R Harris, P Kirsch, ...

2007 IEEE International Electron Devices Meeting, 353-356

11

2007

Role of interfacial layer on breakdown of TiN/high-κ gate stacks

NA Chowdhury, D Misra, G Bersuker, C Young, R Choi

Journal of The Electrochemical Society 154 (12), G298-G306

8

2007

Radiation Induced Charge Trapping in Ultrathin -Based MOSFETs

SK Dixit, XJ Zhou, RD Schrimpf, DM Fleetwood, ST Pantelides, R Choi, ...

IEEE Transactions on Nuclear Science 54 (6), 1883-1890

68

2007

Breakdown Characteristics of High-k Gate Dielectrics with Metal Gates

D Misra, N Chowdhury, G Bersuker, CD Young, R Choi

ECS Transactions 11 (6), 143-160

2007

Electrical characterization methodologies for the assessment of high-k gate dielectric stacks

CD Young, G Bersuker, D Heh, A Neugroschel, R Choi, CY Kang, J Tun, ...

ECS Transactions 11 (4), 335-346

2

2007

Characterization of electrically active defects in high-k gate dielectrics by using low frequency noise and charge pumping measurements

HD Xiong, D Heh, M Gurfinkel, Q Li, Y Shapira, C Richter, G Bersuker, ...

Microelectronic Engineering 84 (9-10), 2230-2234

55

2007

Applications of DCIV method to NBTI characterization

A Neugroschel, G Bersuker, R Choi

Microelectronics Reliability 47 (9-11), 1366-1372

30

2007

Improved Hot Carrier Reliability Characteristics of Metal Oxide Semiconductor Field Effect Transistors with High-kGate Dielectric by Using High Pressure Deuterium Post Metallization Annealing

H Park, R Choi, BH Lee, H Hwang

Japanese Journal of Applied Physics 46 (9L), L786

4

2007

Extraction of the threshold-voltage shift by the single-pulse technique

D Heh, CD Young, R Choi, G Bersuker

IEEE electron device letters 28 (8), 734-736

11

2007

Determination of Strain in the Silicon Channel Induced by a Metal Electrode

HC Floresca, J Wang, M Kim, J Kim, CY Kang, R Choi, SC Song, ...

Microscopy and Microanalysis 13 (S02), 838-839

2007

High and low stress voltage instabilities in high-k gate stacks

G Bersuker, C Young, D Heh, R Choi, BH Lee, R Jammy

ECS Transactions 8 (1), 99-103

2

2007

Effects of metal gate-induced strain on the performance of metal-oxide-semiconductor field effect transistors with titanium nitride gate electrode and hafnium oxide dielectric

CY Kang, R Choi, MM Hussain, J Wang, YJ Suh, HC Floresca, MJ Kim, ...

Applied Physics Letters 91 (3), 033511

26

2007

Reliability assessment on highly manufacturable MOSFETs with metal gate and Hf based gate dielectrics

R Choi, C Young, CY Kang, D Heh, G Bersuker, S Krishnan, P Kirsch, ...

2007 14th International Symposium on the Physical and Failure Analysis of …

1

2007

Error and correction in capacitance–voltage measurement due to the presence of source and drain

Y Wang, KP Cheung, R Choi, GA Brown, BH Lee

IEEE electron device letters 28 (7), 640-642

1

2007

Effects of gate edge profile on off-state leakage suppresion in metal gate/high- dielectric -type metal-oxide-semiconductor field effect transistors

CY Kang, R Choi, SC Song, BH Lee

Applied physics letters 90 (18), 183501

3

2007

Identifying Contributions to Electrical Instability in High-k Gate Stacks: As-Grown vs. Generated Defects

G Bersuker, P Lysaght, R Choi

ECS Transactions 6 (3), 687-702

2007

Impact of bottom interfacial layer on the threshold voltage and device reliability of fluorine incorporated PMOSFETS with high-K/metal gate

K Choi, T Lee, J Barnett, HR Harris, S Kweon, C Young, G Bersuker, ...

2007 IEEE International Reliability Physics Symposium Proceedings. 45th …

6

2007

Progressive breakdown characteristics of high-k/metal gate stacks

G Bersuker, N Chowdhury, C Young, D Heh, D Misra, R Choi

2007 IEEE International Reliability Physics Symposium Proceedings. 45th …

33

2007

Comparison of plasma-induced damage in SiO2/TiN and HfO2/TiN gate stacks

CD Young, G Bersuker, F Zhu, K Matthews, R Choi, SC Song, HK Park, ...

2007 IEEE International Reliability Physics Symposium Proceedings. 45th …

19

2007

Accurate series-resistance extraction from capacitor using time domain reflectometry

Y Wang, KP Cheung, R Choi, GA Brown, BH Lee

IEEE electron device letters 28 (4), 279-281

10

2007

Electrical characterization and analysis techniques for the high-κ era

CD Young, D Heh, A Neugroschel, R Choi, BH Lee, G Bersuker

Microelectronics Reliability 47 (4-5), 479-488

11

2007

Test structures for accurate UHF CV measurements of nano-scale CMOSFETs with HfSiON and TiN metal gate

KT Lee, J Schmitz, GA Brown, D Heh, R Choi, R Harris, SC Song, BH Lee, ...

2007 IEEE International Conference on Microelectronic Test Structures, 124-127

2007

Comparison of On-The-Fly, DC –, and Single-Pulse Methods for Evaluating Threshold Voltage Instability in High- nMOSFETs

D Heh, R Choi, G Bersuker

IEEE electron device letters 28 (3), 245-247

7

2007

Methods for dual metal gate CMOS integration

SC Song, Z Zhang, B Lee, N Moumen, J Barnett, M Hussain, R Choi, ...

US Patent App. 11/212,127

17

2007

Mechanism of Electron Trapping and Characteristics of Traps in  Gate Stacks

G Bersuker, JH Sim, CS Park, CD Young, SV Nadkarni, R Choi, BH Lee

IEEE Transactions on Device and Materials Reliability 7 (1), 138-145

119

2007

IEDM Tech. Digest, 2006 IEDM Tech. Digest, 2006, 2006

CY KANG, P KIRSCH, D HEH, C YOUNG, P SIVASUBRAMANI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

Effect of F2 Postmetallization Annealing on the Electrical and Reliability Characteristics of HfSiO Gate Dielectric

M Chang, M Jo, H Park, H Hwang, BH Lee, R Choi

IEEE electron device letters 28 (1), 21-23

16

2007

IEDM Tech. Digest, 2003 IEDM Tech. Digest, 2003, 2003

KT LEE, CY KANG, R CHOI, SC SONG, BH LEE, HD LEE, YH JEONG

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

VLSI-TSA 2007 VLSI-TSA 2007, 2007

RTP LEE, KM TAN, AEJ LIM, TY LIOW, XC CHEN, M ZHU, ATY KOH, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

Time-domain-reflectometry for capacitance–voltage measurement with very high leakage current

Y Wang, KP Cheung, R Choi, GA Brown, BH Lee

IEEE electron device letters 28 (1), 51-53

7

2007

Ext. Abst. Int. Conf. Solid State Device and Materials, 2006 Ext. Abst. Int. Conf. Solid State Device and Materials, 2006, 2006

H MIYAGAWA, H SHIRAOKA, S HIGUCHI, K FUJII, N TAKAHASHI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

Silicon and Elemental Semiconductor Devices-Comparison of On-The-Fly, DC Id-Vg, and Single-Pulse Methods for Evaluating Threshold Voltage Instability in High-k nMOSFETs

D Heh, R Choi, G Bersuker

IEEE Electron Device Letters 28 (3), 245

2007

SISC, 2004, 2004

CS PARK, SC SONG, C BURHAM, HB PARK, H NIIMI, BS JU, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

SSDM 2006 SSDM 2006, 2006

N TAOKA, Y YAMASHITA, M HARADA, K IKEDA, T YAMAMOTO, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

Proceedings of SSDM, 2006 Proceedings of SSDM, 2006, 2006

CY KANG, P KIRSCH, D HEH, C YOUNG, P SIVASUBRAMANI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2007

A novel electrode-induced strain engineering for high performance SOI FinFET utilizing Si (1hannel for Both N and PMOSFETs

CY Kang, R Choi, SC Song, K Choi, BS Ju, MM Hussain, BH Lee, ...

2006 International Electron Devices Meeting, 1-4

44

2006

An accurate lifetime analysis methodology incorporating governing NBTI mechanisms in high-k/SiO2 gate stacks

A Neugroschel, G Bersuker, R Choi, C Cochrane, P Lenahan, D Heh, ...

2006 International Electron Devices Meeting, 1-4

53

2006

High Performing pMOSFETs on Si(110) for Application to Hybrid Orientation Technologies -- Comparison of HfO2and HfSiON

SA Krishnan, HR Harris, PD Kirsch, C Krug, M Quevedo-Lopez, C Young, ...

2006 International Electron Devices Meeting, 1-4

7

2006

The effect of interfacial layer properties on the performance of Hf-based gate stack devices

G Bersuker, CS Park, J Barnett, PS Lysaght, PD Kirsch, CD Young, ...

Journal of Applied Physics 100 (9), 094108

171

2006

Comparison of novel BTI measurements for high-k dielectric MOSFETs

R Choi, D Heh, CY Kang, C Young, G Bersuker, BH Lee

2006 8th International Conference on Solid-State and Integrated Circuit …

2

2006

Fast and slow charge trapping/detrapping processes in high-k nMOSFETs

D Heh, R Choi, CD Young, G Bersuker

2006 IEEE International Integrated Reliability Workshop Final Report, 120-124

11

2006

Preliminary Study of the Breakdown Strength of TiN/HfO2/SiO2/Si MOS Gate Stacks

RG Southwick, MC Elgin, G Bersuker, R Choi, WB Knowlton

2006 IEEE International Integrated Reliability Workshop Final Report, 146-147

2006

Effects of ALD TiN Metal Gate Thickness on Metal Gate/High-k Dielectric SOI FinFET Characteristics

CY Kang, R Choi, SC Song, BS Ju, MM Hussain, BH Lee, JW Yang, ...

2006 IEEE international SOI Conferencee Proceedings, 135-136

8

2006

A Novel Bias Temperature Instability Characterization Methodology for High-nMOSFETs

D Heh, R Choi, CD Young, BH Lee, G Bersuker

IEEE electron device letters 27 (10), 849-851

26

2006

A novel bias temperature instability characterization methodology for high-k MOSFETs

D Heh, G Bersuker, R Choi, CD Young, BH Lee

2006 European Solid-State Device Research Conference, 387-390

5

2006

Compatibility of ALD Hafnium Silicate with Dual Metal Gate CMOS Integration

HM Mustafa, S Seung-Chul, KC Yong, QL Manuel, H ALSHAREEF, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2

2006

Review of alternative gate stack technology research during the last decade

BH Lee, P Kirsch, H Alshareef, P Majhi, R Choi, S Song, HH Tseng, ...

세라미스트 9 (4), 58-71

2006

Decoupling of cold-carrier effects in hot-carrier reliability assessment of HfO/sub 2/gated nMOSFETs

H Park, R Choi, BH Lee, SC Song, M Chang, CD Young, G Bersuker, ...

IEEE electron device letters 27 (8), 662-664

20

2006

Atomic layer deposited HfO2 and HfSiO to enable CMOS gate dielectric scaling, mobility, and VTH stability

PD Kirsch, M Quevedo-Lopez, S Krishnan, SC Song, R Choi, P Majhi, ...

ECS Transactions 1 (10), 15-28

4

2006

Charge trapping effects in high-k transistors

G Bersuker, J Sim, C Young, R Choi, CS Park, BH Lee

ECS Transactions 1 (5), 663-670

1

2006

High performance metal gate CMOSFETs with aggressively scaled Hf-based high-k

SC Song, GL Zhang, SH Bae, P Kirsch, P Majhi, R Choi, BH Lee

ECS Transactions 1 (5), 609-623

4

2006

Comparison of effective work function extraction methods using capacitance and current measurement techniques

HC Wen, R Choi, GA Brown, T BosckeBoscke, K Matthews, HR Harris, ...

IEEE electron device letters 27 (7), 598-601

52

2006

Highly manufacturable 45nm LSTP CMOSFETs using novel dual high-k and dual metal gate CMOS integration

S Song, Z Zhang, M Hussain, C Huffman, J Barnett, S Bae, H Li, P Majhi, ...

2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers., 13-14

46

2006

Electron trap generation in high-/spl kappa/gate stacks by constant voltage stress

CD Young, D Heh, SV Nadkarni, R Choi, JJ Peterson, J Barnett, BH Lee, ...

IEEE Transactions on Device and Materials Reliability 6 (2), 123-131

78

2006

Feature パルス IV で High-k のチャージトラッピング現象を評価する

Y Zhao, CD Young, R Choi

Semiconductor international 日本版 3 (6), 31-35

2006

Characterization and reliability measurement issues in devices with novel gate stack devices

R Choi, CD Young, G Bersuker, BH Lee, Y Zhao

Thin solid films 504 (1-2), 223-226

2

2006

Assessment of Process-Induced Damage in High-κ Transistors

CD Young, R Choi, D Heh, A Neugroschel, H Park, CY Kang, GA Brown, ...

2006 IEEE International Conference on IC Design and Technology, 1-4

2006

Highly manufacturable advanced gate-stack technology for sub-45-nm self-aligned gate-first CMOSFETs

SC Song, Z Zhang, C Huffman, JH Sim, SH Bae, PD Kirsch, P Majhi, ...

IEEE Transactions on Electron Devices 53 (5), 979-989

26

2006

Negative bias temperature instability dependence on dielectric thickness and nitrogen concentration in ultra-scaled HfSiON dielectric/TiN gate stacks

SA Krishnan, M Quevedo, R Harris, PD Kirsch, R Choi, BH Lee, ...

Japanese journal of applied physics 45 (4S), 2945

5

2006

Electron Trapping Processes in High-K Gate Dielectrics and Nature of Traps

G Bersuker, J Gavartin, J Sim, CS Park, C Young, S Nadkarni, R Choi, ...

2006 International Symposium on VLSI Technology, Systems, and Applications, 1-2

1

2006

Detection of Trap Generation in High-κ Gate Stacks due to Constant Voltage Stress

C Young, D Heh, R Choi, JJ Peterson, J Barnett, B Lee, P Zeitzoff, ...

2006 International Symposium on VLSI Technology, Systems, and Applications, 1-2

2006

Transient bicarrier response in high- dielectrics and its impact on transient charge effects in high- complementary metal oxide semiconductor devices

CY Kang, JC Lee, R Choi, SC Song, CD Young, G Bersuker, BH Lee

Applied physics letters 88 (16), 162905

5

2006

Detection of electron trap generation due to constant voltage stress on high-κ gate stacks

CD Young, S Nadkarni, D Heh, HR Harris, R Choi, JJ Peterson, JH Sim, ...

2006 IEEE International Reliability Physics Symposium Proceedings, 169-173

14

2006

Intrinsic threshold voltage instability of the HfO2 NMOS transistors

G Bersuker, JH Sim, CS Park, CD Young, S Nadkarni, R Choi, BH Lee

2006 IEEE International Reliability Physics Symposium Proceedings, 179-183

44

2006

Impact of nitrogen on PBTI characteristics of HfSiON/TiN gate stacks

SA Krishnan, M Quevedo-Lopez, H Li, P Kirsch, R Choi, C Young, ...

2006 IEEE International Reliability Physics Symposium Proceedings, 325-328

6

2006

Carrier recombination in high-k dielectrics and its impact on transient charge effects in high-k devices

CY Kang, R Choi, SC Song, CD Young, G Bersuker, BH Lee, JC Lee

2006 IEEE International Reliability Physics Symposium Proceedings, 657-658

1

2006

Decoupling of cold carrier effects in hot carrier reliability of HfO2 gated nMOSFETs

H Park, R Choi, SC Song, M Chang, CD Young, G Bersuker, BH Lee, ...

2006 IEEE International Reliability Physics Symposium Proceedings, 200-203

2

2006

Realiability Characteristics of Metal/High-K Pmos with Top Interface Engineered Band Offset Dielectric (BOD)

HR Harris, S Krishnan, HC Wen, H Alshareef, A Rao, L Solis, P Majhi, ...

2006 IEEE International Reliability Physics Symposium Proceedings, 661-662

5

2006

Technology and Reliability Challenges of Sub-nm High EOT High-k/Metal Gate Electrode Transistors

J Peterson, P Kirsch, G Bersuker, S Krishnan, P Mahji, P Lysaght, ...

Meeting Abstracts, 391-391

2006

Prospect of high-k/metal gate stack technology for future CMOS devices

BH Lee, K Paul, P Majhi, SC Song, R Choi, G Bersuker

Meeting Abstracts, 726-726

2006

Future Fab Future Fab, 2005

MM HUSSAIN, SC SONG, CY KANG, M QUEVEDO-LOPEZ, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

IEDM, 2002 IEDM, 2002, 2002

MM HUSSAIN, SC SONG, CY KANG, M QUEVEDO-LOPEZ, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

IEDM, 2002 IEDM, 2002, 2002

WY LOH, YX CHEN, SJ LEE, LK BERA, R YANG, GQ LO, DL KWONG

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

VLSI symposium, 2005 VLSI symposium, 2005, 2005

BH LEE, CY KANG, TH LEE, J BARNETT, R CHOI, SC SONG, R JAMMY

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

IEDM Tech. Digest, 2003 IEDM Tech. Digest, 2003, 2003

CY KANG, R CHOI, SH BAE, SC SONG, MM HUSSAIN, C YOUNG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

VLSI Tech. Symp., 2003 VLSI Tech. Symp., 2003, 2003

CY KANG, R CHOI, SH BAE, SC SONG, MM HUSSAIN, C YOUNG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

VLSI, 2005 VLSI, 2005, 2005

MM HUSSAIN, SC SONG, CY KANG, M QUEVEDO-LOPEZ, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

Silicon and Elemental Semiconductor Devices-Comparison of Effective Work Function Extraction Methods Using Capacitance and Current Measurement Techniques

HC Wen, R Choi, GA Brown, T Boscke, K Matthews, HR Harris, K Choi, ...

IEEE Electron Device Letters 27 (7), 598-601

2006

IBM J. RES. & DEV. IBM J. RES. & DEV., 2002

CY KANG, R CHOI, SH BAE, SC SONG, MM HUSSAIN, C YOUNG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2006

Advanced Gate Stack/SI Processing Science-Negative Bias Temperature Instability Dependence on Dielectric Thickness and Nitrogen Concentration in Ultra-scaled HfSiON Dielectric …

SA Krishnan, M Quevedo, R Harris, PD Kirsch, R Choi, BH Lee, ...

Japanese Journal of Applied Physics-Part 1 Regular Papers and Short Notes 45 …

2006

TRANSIENT CHARGING EFFECTS AND ITS IMPLICATIONS TO THE RELIABILITY OF HIGH-K DIELECTRICS

BHUN LEE, R CHOI, R HARRIS, SA KRISHAN, CD YOUNG, J SIM, ...

Defects in High-k Gate Dielectric Stacks, 161-173

1

2006

Electrical observation of deep traps in high-/spl kappa//metal gate stack transistors

HR Harris, R Choi, JH Sim, CD Young, P Majhi, BH Lee, G Bersuker

IEEE electron device letters 26 (11), 839-841

17

2005

Charge trapping dependence on the physical structure of ultra-thin ALD-HfSiON/TiN gate stacks

SA Krishnan, MA Quevedo-Lopez, R Choi, PD Kirsch, C Young, R Harris, ...

2005 IEEE International Integrated Reliability Workshop, 2 pp.

2

2005

Detection of trap generation in high-k gate stacks

CD Young, D Heh, S Nadkarni, R Choi, JJ Peterson, HR Harris, JH Sim, ...

2005 IEEE International Integrated Reliability Workshop, 5 pp.

3

2005

Improved interface quality and charge-trapping characteristics of MOSFETs with high-/spl kappa/gate dielectric

H Park, MS Rahman, M Chang, BH Lee, R Choi, CD Young, H Hwang

IEEE electron device letters 26 (10), 725-727

39

2005

Charge trapping and detrapping characteristics in hafnium silicate gate dielectric using an inversion pulse measurement technique

R Choi, SC Song, CD Young, G Bersuker, BH Lee

Applied Physics Letters 87 (12), 122901

26

2005

Stress voltage polarity dependent threshold voltage shift behavior of ultrathin Hafnium oxide gated pMOSFET with TiN electrode

H PARK, R CHOI, LEEB Hun, M CHANG, H HWANG

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Physical origin of fast transient charging in hafnium based gate dielectrics

BH Lee, R Choi, SC Song, CD Young, G Bersuker, HK Park, H Hwang

Proc. Int. Conf. Solid State Devices Mater, 16

2

2005

NBTI Dependence on Dielectric Thickness in Ultra-scaled HfSiO Dielectric/ALD-TiN Gate Stacks

M QUEVEDO, R HARRIS, R CHOI, LEEB Hun, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

A novel inversion pulse measurement technique to investigate transient charging characteristics in high-k NMOS transistors

R Choi, BH Lee, HK Park, CD Young, JH Sim, SC Song, G Bersuker

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Mobility enhancement of high-k gate stacks through reduced transient charging

PD Kirsch, JH Sim, SC Song, S Krishnan, J Peterson, HJ Li, ...

Proceedings of 35th European Solid-State Device Research Conference, 2005 …

19

2005

Mobility evaluation in transistors with charge-trapping gate dielectrics

G Bersuker, P Zeitzoff, JH Sim, BH Lee, R Choi, G Brown, CD Young

Applied Physics Letters 87 (4), 042905

46

2005

Improved reliability characteristics of ultrathin high-k MOSFET with TiN gate by employing two step post deposition annealing process

MS Rahman, H Park, M Chang, R Choi, BH Lee, JC Lee, H Hwang

63rd Device Research Conference Digest, 2005. DRC'05. 1, 85-86

2005

Cold and hot carrier effects on HfO/sub 2/and HfSiO NMOSFETS with tin gate electrode

JH Sim, SC Song, R Choi, G Bersuker, SH Bae, DL Kwong, BH Lee

63rd Device Research Conference Digest, 2005. DRC'05. 1, 103-104

2005

Effects of ALD HfO2 thickness on charge trapping and mobility

JH Sim, SC Song, PD Kirsch, CD Young, R Choi, DL Kwong, BH Lee, ...

Microelectronic Engineering 80, 218-221

68

2005

Hot carrier degradation of HfSiON gate dielectrics with TiN electrode

JH Sim, BH Lee, R Choi, SC Song, G Bersuker

IEEE Transactions on Device and Materials Reliability 5 (2), 177-182

39

2005

Nickel-silicide phase effects on flatband voltage shift and equivalent oxide thickness decrease of hafnium silicon oxynitride metal-silicon-oxide capacitors

CY Kang, P Lysaght, R Choi, BH Lee, SJ Rhee, CH Choi, MS Akbar, ...

Applied Physics Letters 86 (22), 222906

26

2005

Challenges in implementing high-k dielectrics in the 45nm technology node

BH Lee, SC Song, R Choi, HC Wen, P Majhi, P Kirsch, C Young, ...

2005 International Conference on Integrated Circuit Design and Technology …

4

2005

Probing stress effects in HfO2 gate stacks with time dependent measurements

CD Young, G Bersuker, Y Zhao, JJ Peterson, J Barnett, GA Brown, JH Sim, ...

Microelectronics Reliability 45 (5-6), 806-810

18

2005

Temperature effects of constant bias stress on n-channel FETs with Hf-based gate dielectric

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Japanese journal of applied physics 44 (4S), 2201

2

2005

Ultra-short pulse current–voltage characterization of the intrinsic characteristics of high-κ devices

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Japanese journal of applied physics 44 (4S), 2437

62

2005

Transient charging and relaxation in high-k gate dielectrics and their implications

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Japanese journal of applied physics 44 (4S), 2415

21

2005

Trapping/de-trapping gate bias dependence of Hf-silicate dielectrics with poly and TiN gate electrode

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Japanese journal of applied physics 44 (4S), 2420

22

2005

Dominant SILC mechanisms in HfO/sub 2//TiN gate nMOS and pMOS transistors

SA Krishnan, JJ Peterson, CD Young, G Brown, R Choi, R Harris, JH Sim, ...

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

9

2005

Interfacial layer dependence of HfSi/sub x/O/sub y/gate stacks on V/sub T/instability and charge trapping using ultra-short pulse IV characterization [nMOS transistor …

CD Young, R Choi, JH Sim, BH Lee, P Zeitzoff, Y Zhao, K Matthews, ...

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

37

2005

Implication of polarity dependence degradation on NMOSFET with polysilicon/Hf-silicate gate stack

R Choi, BH Lee, CD Young, JH Sim, K Mathews, G Bersuker, P Zeitzoff

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

2005

Threshold voltage instability of HfSiO dielectric MOSFET under pulsed stress

R Choi, R Harris, BH Lee, CD Young, JH Sim, K Matthews, M Pendley, ...

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

2

2005

Comparison of hot carrier stress and constant voltage stress in Hf-silicate NMOS transistors with Poly and TiN gate stack

H Sim, BH Lee, SC Song, CD Young, R Choi, HR Harris, G Bersuker

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

2

2005

Comparison of NMOS and PMOS stress for determining the source of NBTI in TiN/HfSiON devices [MOSFETs]

HR Harris, R Choi, BH Lee, CD Young, JH Sim, K Mathews, P Zeitzoff, ...

2005 IEEE International Reliability Physics Symposium, 2005. Proceedings …

13

2005

Effects of nitrogen-incorporated interface layer on the transient characteristics of hafnium oxide -metal–oxide–semiconductor field-effect transistors

CY Kang, SJ Rhee, CH Choi, CS Kang, R Choi, MS Akbar, M Zhang, ...

Applied Physics Letters 86 (12), 123506

3

2005

Charge trapping and detrapping characteristics in hafnium silicate gate stack under static and dynamic stress

R Choi, SJ Rhee, JC Lee, BH Lee, G Bersuker

IEEE electron device letters 26 (3), 197-199

50

2005

Validity of constant voltage stress based reliability assessment of high-/spl kappa/devices

BH Lee, R Choi, JH Sim, SA Krishnan, JJ Peterson, GA Brown, ...

IEEE Transactions on Device and Materials Reliability 5 (1), 20-25

52

2005

IEEE Trans. Dev. & Mat. Reliability IEEE Trans. Dev. & Mat. Reliability, 2005

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Charge trapping in metal gate

P SRINIVASAN, NA CHOWDHURY, A PERALTA, D MISRA, R CHOI, ...

Proceedings-Electrochemical Society, 366-375

2005

IEEE SISC, 2004 IEEE SISC, 2004, 2004

H PARK, R CHOI, BH LEE, CD YOUNG, M CHANG, JC LEE, H HWANG

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Symp. on VLSI Technology, 2004 Symp. on VLSI Technology, 2004, 2004

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IRPS 2004 IRPS 2004, 2004

S MAHAPATRA, S SHARMA, PB KUMAR, D VARGHESE, D SAHA

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IEDM Tech. Dig., 2004 IEDM Tech. Dig., 2004, 2004

H WAKAI, T SUGIZAKI, T KUMISE, M KOBAYASHI, M YAMAGUCHI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Proc. IRPS, 2003 Proc. IRPS, 2003, 2003

H PARK, R CHOI, BH LEE, CD YOUNG, M CHANG, JC LEE, H HWANG

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IRPS Proc., 2003 IRPS Proc., 2003, 2003

R CHOI, BH LEE, HK PARK, CD YOUNG, JH SIM, SC SONG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IRPS, 2004 IRPS, 2004, 2004

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Symp. On VLSI Tech. Symp. On VLSI Tech.

SG PARK, JY KIM, YI KIM, HJ OH, WS LEE, JH KIM, SE KIM, MS SHIM, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

ESSDERC, 2004 ESSDERC, 2004, 2004

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

11: 15 A-4-2 A novel inversion pulse measurement technique to investigate transient charging characteristics in high-k NMOS transistors

R Choi, BH Lee, HK Park, CD Young, JH Sim, SC Song, G Bersuker

SOLID STATE DEVICES AND MATERIALS 2005, 238

2005

New Materials and Characterization for Silicon LSIs-Ultra-Short Pulse Current-Voltage Characterization of the Intrinsic Characteristics of High-k Devices

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Japanese Journal of Applied Physics-Part 1 Regular Papers and Short Notes 44 …

2005

Properties of the interfacial layer in the high-k gate stack and transistor performance

G Bersuker, J Peterson, J Barnett, A Korkin, JH Sim, R Choi, BH Lee, ...

Proc. of ECS Spring Meeting 2005, 141

13

2005

Ext. Abst. SSDM, 2004 Ext. Abst. SSDM, 2004, 2004

T MATSUKI, I NISHIMURA, Y AKASAKA, K HAYASHI, M NOGUCHI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Charge Trapping in Metal Gate/High-K N-MOSFETs during Substrate Injection

P Srinivasan, NA Chowdhury, A Peralta, D Misra, R Choi, BH Lee

Advanced Gate Stack, Source/drain and Channel Engineering for Si-based CMOS …

2005

Workshop of Future Electronics, 2004 Workshop of Future Electronics, 2004, 2004

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

APL, 2005

SA KRISHNAN, M QUEVEDO, R HARRIS, PD KIRSCH, R CHOI, BH LEE, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Microelect. Eng. Microelect. Eng. 69, 182-189, 2003

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IEDM Tech. Digest, Dec 2003 IEDM Tech. Digest, Dec 2003, 2003

SA KRISHNAN, M QUEVEDO, R HARRIS, PD KIRSCH, R CHOI, BH LEE, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

TDMR, 2005

SA KRISHNAN, M QUEVEDO, R HARRIS, PD KIRSCH, R CHOI, BH LEE, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Microel. Reliab. Microel. Reliab. 44, 1509, 2004

R CHOI, BH LEE, HK PARK, CD YOUNG, JH SIM, SC SONG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

EDL, 2005

BH LEE, R CHOI, SC SONG, J SIM, CD YOUNG, G BERSUKER, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

IRPS Proceedings, 2005 IRPS Proceedings, 2005, 2005

SA KRISHNAN, M QUEVEDO, R HARRIS, PD KIRSCH, R CHOI, BH LEE, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

DRC Dig., 2004 DRC Dig., 2004, 2004

R CHOI, BH LEE, HK PARK, CD YOUNG, JH SIM, SC SONG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Internation Workshop on Gate Insulator, Nov. 2003 Internation Workshop on Gate Insulator, Nov. 2003, 2003

SA KRISHNAN, M QUEVEDO, R HARRIS, PD KIRSCH, R CHOI, BH LEE, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

2004 IRW Handbook 2004 IRW Handbook I, HIK1-1, 2004

R CHOI, BH LEE, HK PARK, CD YOUNG, JH SIM, SC SONG, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2005

Charge trapping effects in HfSiON dielectrics on the ring oscillator circuit and the single stage inverter operation

CY Kang, JC Lee, R Choi, JH Sim, C Young, BH Lee, G Bersuker

IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004 …

12

2004

Intrinsic characteristics of high-k devices and implications of fast transient charging effects (FTCE)

BH Lee, CD Young, R Choi, JH Sim, G Bersuker, CY Kang, R Harris, ...

IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004 …

78

2004

Effects of drain to gate stress on NMOSFET with polysilicon/Hf-silicate gate stack

R Choi, BH Lee, CD Young, JH Sim, K Mathews, G Bersuker, P Zeitzoff

IEEE International Integrated Reliability Workshop Final Report, 2004, 128-131

2

2004

Hot carrier stress study in Hf-silicate NMOS transistors

JH Sim, BH Lee, R Choi, SC Song, CD Young, P Zeitzoff, DL Kwong, ...

IEEE International Integrated Reliability Workshop Final Report, 2004, 136-140

1

2004

Mobility evaluation in high-k devices [MOSFETs]

G Bersuker, P Zeitzoff, JH Sim, BH Lee, R Choi, G Brown, CD Young

IEEE International Integrated Reliability Workshop Final Report, 2004, 141-144

10

2004

Recovery of NBTI degradation in HfSiON/metal gate transistors

HR Harris, R Choi, BH Lee, CD Young, JH Sim, K Mathews, P Zeitzoff, ...

IEEE International Integrated Reliability Workshop Final Report, 2004, 132-135

5

2004

Threshold voltage instability characteristics under positive dynamic stress in ultrathin metal-oxide-semiconductor field-effect transistors

SJ Rhee, CY Kang, CS Kang, CH Choi, R Choi, MS Akbar, JC Lee

Applied physics letters 85 (15), 3184-3186

7

2004

Influence of metal gate materials and processing on planar CMOS device characteristics with high-k gate dielectrics

P Majhi, C Young, G Bersuker, HC Wen, GA Brown, B Foran, R Choi, ...

Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat …

11

2004

Ultra-Short Pulse IV Characterization of the Intrinsic Behavior of High-κ Devices

Y ZHAO, M PENDLEY, LEEB Hun, K MATTHEWS, SIMJ Hoan, R CHOI, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Transient charging and relaxation in high-k gate dielectrics and its implications

LEEB Hun, C YOUNG, R CHOI, SIMJ Hoan, G BROWN, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Temperature effects of constant bias stress on NFETs with Hf-based gate dielectric

R CHOI, LEEB Hun, SIMJ Hoan, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2

2004

Reliability of High-K Dielectrics and Its Dependence on Gate Electrode and Interfacial/High-K Bi-Layer Structure.

YH Kim, R Choi, R Jha, JH Lee, V Misra, JC Lee

Microelectronics Reliability 44 (9-11), 1513-1518

7

2004

Effects of varying interfacial oxide and high- layer thicknesses for  metal–oxide–semiconductor field effect transistor

SJ Rhee, CY Kang, CS Kang, R Choi, CH Choi, MS Akbar, JC Lee

Applied physics letters 85 (7), 1286-1288

34

2004

Hot carrier reliability of HfSiON PMOSFETs with TiN gate

JH Sim, BH Lee, R Choi, K Matthews, P Zeitzoff, G Bersuker

Proceedings of the 11th International Symposium on the Physical and Failure …

2004

Polarity dependence of FN stress induced degradation on nMOSFETs with polysilicon gate and HfSiON gate dielectrics

R Choi, BH Lee, G Brown, P Zeitzoff, JH Sim, JC Lee

Proceedings of the 11th International Symposium on the Physical and Failure …

3

2004

Optimized NH/sub 3/annealing Process for high-quality HfSiON gate oxide

MS Akbar, HJ Cho, R Choi, CS Kang, CY Kang, CH Choi, SJ Rhee, ...

IEEE Electron Device Letters 25 (7), 465-467

39

2004

Threshold voltage instability of ultra-thin HfO/sub 2/NMOSFETs: characteristics of polarity dependences

SJ Rhee, CY Kang, YH Kim, CS Kang, HJ Cho, R Choi, CH Choi, ...

Conference Digest [Includes' Late News Papers' volume] Device Research …

2004

Relaxation of FN stress induced V/sub th/shift at NMOSFETs with HfSiON gate dielectric and TiN gate electrode

R Choi, BH Lee, K Matthews, JH Sim, G Bersuker, L Larson, JC Lee

Conference Digest [Includes' Late News Papers' volume] Device Research …

16

2004

Hot carrier reliability of HfSiON NMOSFETs with poly and TiN metal gate

JH Sim, BH Lee, R Choi, K Matthews, DL Kwong, L Larson, P Tsui, ...

Conference Digest [Includes' Late News Papers' volume] Device Research …

12

2004

Effects of high temperature forming gas anneal on the characteristics of metal-oxide-semiconductor field-effect transistor with  gate stack

R Choi, CS Kang, HJ Cho, YH Kim, MS Akbar, JC Lee

Applied physics letters 84 (24), 4839-4841

20

2004

A capacitance-based methodology for work function extraction of metals on high-/spl kappa

R Jha, J Gurganos, YH Kim, R Choi, J Lee, V Misra

IEEE Electron Device Letters 25 (6), 420-423

125

2004

Scaling down of ultrathin  gate dielectrics by using a nitrided Si surface

CS Kang, R Choi, HJ Cho, YH Kim, JC Lee

Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …

14

2004

Effects of thin SiN interface layer on transient IV characteristics and stress induced degradation of high-k dielectrics

CY Kang, HJ Cho, CS Kang, R Choi, YH Kim, SJ Rhee, CH Choi, ...

2004 IEEE International Reliability Physics Symposium. Proceedings, 587-588

1

2004

Dynamic positive bias temperature instability characteristics of ultra-thin HfO/sub 2/NMOSFET

SJ Rhee, YH Kim, CY Kang, CS Kang, HJ Cho, R Choi, CH Choi, ...

2004 IEEE International Reliability Physics Symposium. Proceedings, 269-272

5

2004

Localized transient charging and it's implication on the hot carrier reliability of HfSiON MOSFETs

BH Lee, JH Sim, R Choi, G Bersuker, K Matthew, N Moumen, JJ Peterson, ...

2004 IEEE International Reliability Physics Symposium. Proceedings, 691-692

22

2004

Effect of structural defects in Hf-based gate stack on transistor performance

G Bersuker, JH Sim, CD Young, R Choi, BH Lee, P Lysaght, GA Brown, ...

MRS Spring meeting

2

2004

Effects of dielectric structure of  on carrier generation rate in Si substrate and channel mobility

CY Kang, HJ Cho, R Choi, CS Kang, YH Kim, SJ Rhee, CH Choi, ...

Applied physics letters 84 (12), 2148-2150

15

2004

The electrical and material characterization of hafnium oxynitride gate dielectrics with TaN-gate electrode

CS Kang, HJ Cho, R Choi, YH Kim, CY Kang, SJ Rhee, C Choi, MS Akbar, ...

IEEE transactions on electron devices 51 (2), 220-227

122

2004

IRPS, March 2003 IRPS, March 2003, 2003

CD YOUNG, Y ZHAO, M PENDLEY, BH LEE, K MATTHEWS, JH SIM, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Proceedings of IRPS symposium, 2003 Proceedings of IRPS symposium, 2003, 2003

BH LEE, C YOUNG, R CHOI, JH SIM, G BROWN, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Processing and reliability studies on hafnium oxide and hafnium silicate for the advanced gate dielectric application

R Choi

2004

IRPS Proceeding, 2004 IRPS Proceeding, 2004, 2004

R CHOI, BH LEE, CD YOUNG, JH SIM, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Effect of Pre-Existing Defects on Reliability Assessment of High-K

G Bersuker, JH Sim, CD Young, R Choi, PM Zeitzoff

Microelectronics Reliability 44, 1509-1512

79

2004

EMC, 2004 EMC, 2004, 2004

R CHOI, BH LEE, CD YOUNG, JH SIM, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Ultra-short pulse IV characterization of the intrinsic behavior of high-κ devices

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH SIM, R CHOI, ...

submitted to Solid State Device Meeting (SSDM)

8

2004

Effects of NH3 Annealing on High-k HfSiON/HfO2 Gate Stack Dielectrics

HJ Cho, CY Kang, CS Kang, YH Kim, R Choi, A Shahriar, CH Choi, ...

Physics and Technology of High-k Gate Dielectrics II: Proceedings of the …

1

2004

Proceedings of IRPS, 2004 Proceedings of IRPS, 2004, 2004

BH LEE, C YOUNG, R CHOI, JH SIM, G BROWN, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Device Research Conference, 2004 Device Research Conference, 2004, 2004

BH LEE, C YOUNG, R CHOI, JH SIM, G BROWN, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

IRPS, March 2004 IRPS, March 2004, 2004

CD YOUNG, Y ZHAO, M PENDLEY, BH LEE, K MATTHEWS, JH SIM, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

IRPS, 2003 IRPS, 2003, 2003

JH SIM, R CHOI, BH LEE, C YOUNG, P ZEITZOFF, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

IEDM, 2003 IEDM, 2003, 2003

CS PARK, KIM IM Hyunsik, T HIRAMOTO

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Effects of structural properties of Hf-based gate stack on transistor performance

G Bersuker, JH Sim, CD Young, R Choi, BH Lee, P Lysaght, GA Brown, ...

MRS Online Proceedings Library Archive 811

38

2004

Int. Symp. on the physical and failure analysis of integrated circuits, Hsinchu, Taiwan, 2004 Int. Symp. on the physical and failure analysis of integrated circuits, Hsinchu …

BH LEE, C YOUNG, R CHOI, JH SIM, G BROWN, G BERSUKER

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Fall ECS, Oct. 2003 Fall ECS, Oct. 2003, 2003

CD YOUNG, Y ZHAO, M PENDLEY, BH LEE, K MATTHEWS, JH SIM, ...

Extended abstracts of the... Conference on Solid State Devices and Materials …

2004

Characterization of resistivity and work function of sputtered-TaN film for gate electrode applications

CS Kang, HJ Cho, YH Kim, R Choi, K Onishi, A Shahriar, JC Lee

Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …

99

2003

Bias-temperature instabilities of polysilicon gate HfO/sub 2/MOSFETs

K Onishi, R Choi, CS Kang, HJ Cho, YH Kim, RE Nieh, J Han, ...

IEEE Transactions on Electron Devices 50 (6), 1517-1524

147

2003

Performance of polysilicon gate HfO2 MOSFETs on [100] and [111] silicon substrates

K Onishi, CS Kang, R Choi, HJ Cho, YH Kim, S Krishnan, MS Akbar, ...

IEEE Electron Device Letters 24 (4), 254-256

19

2003

Dynamic reliability characteristics of ultra-thin HfO/sub 2

YH Kim, K Onishi, CS Kang, R Choi, HJ Cho, S Krishnan, A Shahriar, ...

2003 IEEE International Reliability Physics Symposium Proceedings, 2003 …

11

2003

High-performance TaN/HfSiON/Si metal-oxide-semiconductor structures prepared by post-deposition anneal

MS Akbar, S Gopalan, HJ Cho, K Onishi, R Choi, R Nieh, CS Kang, ...

Applied physics letters 82 (11), 1757-1759

95

2003

Effects of deuterium anneal on MOSFETs with HfO2 gate dielectrics

R Choi, K Onishi, CS Kang, HJ Cho, YH Kim, S Krishnan, MS Akbar, ...

IEEE Electron Device Letters 24 (3), 144-146

24

2003

Improvement of surface carrier mobility of HfO/sub 2/MOSFETs by high-temperature forming gas annealing

K Onishi, CS Kang, R Choi, HJ Cho, S Gopalan, RE Nieh, SA Krishnan, ...

IEEE Transactions on Electron Devices 50 (2), 384-390

85

2003

Electrical characterization and material evaluation of zirconium oxynitride gate dielectric in TaN-gated NMOSFETs with high-temperature forming gas annealing

RE Nieh, CS Kang, HJ Cho, K Onishi, R Choi, S Krishnan, JH Han, ...

IEEE Transactions on Electron Devices 50 (2), 333-340

73

2003

Nitrogen Incorporation and High-Temperature Forming Gas Anneal for High-K Gate Dielectrics

JC Lee, R Choi, K Onishi, H Cho, C Kang, Y Kim, S Krishnan

Physics and Technology of High-k Gate Dielectrics I: Proceedings of …

2003

Materials Processing and Packaging-Electrical Characterization and Material Evaluation of Zirconium Oxynitride Gate Dielectric in TaN-gated NMOSFETs With High-Temperature …

RE Nieh, CS Kang, HJ Cho, K Onishi, R Choi, S Krishnan, JH Han, ...

IEEE Transactions on Electron Devices 50 (2), 333-340

2003

Thickness dependence of Weibull slopes of HfO2 gate dielectrics

YH Kim, K Onishi, CS Kang, HJ Cho, R Choi, S Krishnan, MS Akbar, ...

IEEE Electron Device Letters 24 (1), 40-42

35

2003

Hard and soft-breakdown characteristics of ultra-thin HfO/sub 2/under dynamic and constant voltage stress

YH Kim, K Onishi, CS Kang, R Choi, HJ Cho, R Nieh, J Han, S Krishnan, ...

Digest. International Electron Devices Meeting,, 629-632

32

2002

Fabrication of high quality ultra-thin HfO/sub 2/gate dielectric MOSFETs using deuterium anneal

R Choi, K Onishi, CS Kang, S Gopalan, R Nieh, YH Kim, JH Han, ...

Digest. International Electron Devices Meeting,, 613-616

33

2002

Nitrogen concentration effects and performance improvement of MOSFETs using thermally stable HfO/sub x/N/sub y/gate dielectrics

CS Kang, HJ Cho, K Onishi, R Choi, YH Kim, R Nieh, J Han, S Krishnan, ...

Digest. International Electron Devices Meeting,, 865-868

25

2002

Area dependence of TDDB characteristics for HfO2 gate dielectrics

YH Kim, K Onishi, CS Kang, HJ Cho, R Nieh, S Gopalan, R Choi, J Han, ...

IEEE Electron Device Letters 23 (10), 594-596

41

2002

Bonding states and electrical properties of ultrathin  gate dielectrics

CS Kang, HJ Cho, K Onishi, R Nieh, R Choi, S Gopalan, S Krishnan, ...

Applied physics letters 81 (14), 2593-2595

185

2002

Evaluation of silicon surface nitridation effects on ultra-thin  gate dielectrics

R Nieh, R Choi, S Gopalan, K Onishi, CS Kang, HJ Cho, S Krishnan, ...

Applied physics letters 81 (9), 1663-1665

83

2002

Impact of NH/sub 3/pre-treatment on the electrical and reliability characteristics of ultra thin hafnium silicate films prepared by re-oxidation method

S Gopalan, R Choi, K Onishi, R Nieh, CS Kang, HJ Cho, S Krishnan, ...

60th DRC. Conference Digest Device Research Conference, 195-196

6

2002

High quality MOSFETs fabrication with HfO/sub 2/gate dielectric and tan gate electrode

R Choi, K Onishi, CS Kang, R Nieh, S Gopalan, HJ Cho, S Krishnan, ...

60th DRC. Conference Digest Device Research Conference, 193-194

1

2002

Improved thermal stability and device performance of ultra-thin (EOT< 10/spl Aring/) gate dielectric MOSFETs by using hafnium oxynitride (HfO/sub x/N/sub y/)

CS Kang, HJ Cho, K Onishi, R Choi, R Nieh, S Goplan, S Krishnan, ...

2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No …

31

2002

Comparison between ultra-thin ZrO/sub 2/and ZrO/sub x/N/sub y/gate dielectrics in TaN or poly-gated NMOSCAP and NMOSFET devices

R Nieh, S Krishnan, HJ Cho, CS Kang, S Gopalan, K Onishi, R Choi, ...

2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No …

20

2002

Effects of high-temperature forming gas anneal on HfO/sub 2/MOSFET performance

K Onishi, CS Kang, R Choi, HJ Cho, S Gopalan, R Nieh, S Krishnan, ...

2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No …

43

2002

Electrical and physical characteristics of ultrathin hafnium silicate films with polycrystalline silicon and TaN gates

S Gopalan, K Onishi, R Nieh, CS Kang, R Choi, HJ Cho, S Krishnan, ...

Applied physics letters 80 (23), 4416-4418

55

2002

Structural and electrical properties of HfO2 with top nitrogen incorporated layer

HJ Cho, CS Kang, K Onishi, S Gopalan, R Nieh, R Choi, S Krishnan, ...

IEEE Electron Device Letters 23 (5), 249-251

71

2002

Hot carrier reliability of n-MOSFET with ultra-thin HfO/sub 2/gate dielectric and poly-Si gate

Q Lu, H Takeuchi, R Lin, TJ King, C Hu, K Onishi, R Choi, CS Kang, ...

2002 IEEE International Reliability Physics Symposium. Proceedings. 40th …

16

2002

Charging effects on reliability of HfO/sub 2/devices with polysilicon gate electrode

K Onishi, CS Kang, R Choi, HJ Cho, S Gopalan, R Nieh, S Krishnan, ...

2002 IEEE International Reliability Physics Symposium. Proceedings. 40th …

13

2002

Deep-submicron CMOS process integration of HfO/sub 2/gate dielectric with poly-si gate

Q Lu, R Lin, H Takeuchi, TJ King, C Hu, K Onishi, R Choi, CS Kang, ...

2001 International Semiconductor Device Research Symposium. Symposium …

10

2001

Novel nitrogen profile engineering for improved TaN/HfO/sub 2//Si MOSFET performance

HJ Cho, CS Kang, K Onishi, S Gopalan, R Nieh, R Choi, E Dharmarajan, ...

International Electron Devices Meeting. Technical Digest (Cat. No. 01CH37224 …

13

2001

Reliability characteristics, including NBTI, of polysilicon gate HfO/sub 2/MOSFET's

K Onishi, CS Kang, R Choi, HJ Cho, S Gopalan, R Nieh, E Dharmarajan, ...

International Electron Devices Meeting. Technical Digest (Cat. No. 01CH37224 …

1

2001

Performance effects of two nitrogen incorporation techniques on TaN/HfO2 and poly/HfO2MOSCAP and MOSFET devices

R Nieh, K Onishi, R Choi, HJ Cho, CS Kang, S Gopalan, S Krishna, ...

Extended Abstracts of International Workshop on Gate Insulator. IWGI 2001 …

2001

High-quality ultra-thin HfO/sub 2/gate dielectric MOSFETs with TaN electrode and nitridation surface preparation

R Choi, CS Kang, BH Lee, K Onishi, R Nieh, S Gopalan, E Dharmarajan, ...

2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No …

94

2001

Dopant penetration effects on polysilicon gate HfO/sub 2/MOSFET's

K Onishi, L Kang, R Choi, E Dharmarajan, S Gopalan, Y Jeon, CS Kang, ...

2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No …

45

2001

HIGH-K GATE DIELECTRICS: Hf02, ZK> 2, AND THEIR SILICATES

R Nieh, K Onishi, R Choi, E Dharmarajan, S Gopalan, CS Kang, JC Lee

Rapid Thermal and Other Short-time Processing Technologies II: Proceedings …

2001

MOSFET devices with polysilicon on single-layer HfO/sub 2/high-K dielectrics

L Kang, K Onishi, Y Jeon, BH Lee, C Kang, WJ Qi, R Nieh, S Gopalan, ...

International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No …

134

2000

Characteristics of TaN gate MOSFET with ultrathin hafnium oxide (8/spl Aring/-12/spl Aring/)

BH Lee, R Choi, L Kang, S Gopalan, R Nieh, K Onishi, Y Jeon, WJ Qi, ...

International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No …

109

2000

PROCESSING EFFECTS AND ELECTRICAL EVALUATION OF Z1O2 FORMED BY RTP OXIDATION OF Zr

R Nieh, WJ Qi, BH Lee, L Kang, Y Jeon, K Onishi, S Gopalan, CS Kang, ...

Low and High Dielectric Constant Materials: Materials Science, Processing …

2000

Characteristics of TaN Gate MOSFET with Ultrathin Hafnium Oxide (8Angstrom-12Angstrom)

BH Lee, R Choi, L Kang, S Gopalan, R Nieh, K Onishi, Y Jeon, WJ Qi, ...

INTERNATIONAL ELECTRON DEVICES MEETING 1 (1), 39-42

1998

Novel Nitrogen Profile Engineering for Improved TaN/HfO~ 2/Si MOSFET Performance

HJ Cho, CS Kang, K Onishi, S Gopalan, R Nieh, R Choi, E Dharmarajan, ...

International Electron Devices Meeting 1 (1), 655-658

45

1998

Oxidation behaviour and strength of B4C-30 wt% SiC composite materials

DH Riu, R Choi, HE Kim, ES Kang

Journal of materials science 30 (15), 3897-3902

20

1995

Effect of Si3N4-whisker addition on microstructural development and fracture toughness of hot-isostatically pressed Si3N4

R Choi, H Kim

Journal of materials science letters 13 (17), 1249-1251

2

1994

INSTABILITY AND CHARGE TRAPPING USING ULTRA-SHORT PULSE IV CHARACTERIZATION

CD Young, R Choi, JH Sim, BH Lee, P Zeitzoff, V Zhao, K Matthews, ...

Reliability Physics, 75

1991

Proc. IRPS, 2003 Proc. IRPS, 2003, 2003

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Proc. Int. Reliability Physics Symposium, 2003 Proc. Int. Reliability Physics Symposium, 2003, 2003

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Proc. IRPS, 2004 Proc. IRPS, 2004, 2004

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Tech. Dig. Int. Electron Devices Meet., 2003 Tech. Dig. Int. Electron Devices Meet., 2003, 2003

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Proc. Int. Reliab. Phys. Symp., 2003 Proc. Int. Reliab. Phys. Symp., 2003, 2003

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Tech. Dig. 2004 Device Research Conf. Tech. Dig. 2004 Device Research Conf., 2004

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Int. Symp. Solid State Devices and Materials, 2004 Int. Symp. Solid State Devices and Materials, 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Proc. Int. Symp. Physical and Failure Analysis of Integrated Circuits, Hsinchu, Taiwan, 2004 Proc. Int. Symp. Physical and Failure Analysis of Integrated Circuits, Hsinchu …

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Tech. Dig. 2002 Symp. VLSI Technology Tech. Dig. 2002 Symp. VLSI Technology, 2002

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Proc. Device Research Conf., 2004 Proc. Device Research Conf., 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Mat. Res. Soc. Symp. Proc. Mat. Res. Soc. Symp. Proc. 811, 31, 2004

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

MRS Proc. MRS Proc. 811, 31, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

2004 IEEE Int. Reliability Physics Symp. 2004 IEEE Int. Reliability Physics Symp., 2004

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Mater. Res. Soc. Symp. Mater. Res. Soc. Symp. 811, 31, 2004

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Proc. Device Research Conference, 2004 Proc. Device Research Conference, 2004, 2004

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Proc. IRPS, 2002 Proc. IRPS, 2002, 2002

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Proc. First Int. Symp. Dielectrics for Nanosystems: Materials Science, Processing, Reliability, and Manufacturing, The Electrochemical Society Proceedings Series (Pennington …

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Tech. Dig. Symp. VLSI Technology, 2002 Tech. Dig. Symp. VLSI Technology, 2002, 2002

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Int. Integr. Reliab. Workshop, 2004 Int. Integr. Reliab. Workshop, 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Int. Symp. Physical and Failure Analysis of Intergradted Circuits, 2004 Int. Symp. Physical and Failure Analysis of Intergradted Circuits, 2004, 2004

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Fall Electrochemical Society Meeting Fall Electrochemical Society Meeting

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Electrical Characterization Methodologies for the Assessment of High-κ Gate Dielectric Stacks

CD Young, G Bersuker, D Heh, R Choi, CY Kang, J Tun, BH Lee

Proc. Int. Reliab. Phys. Symp., 2004 Proc. Int. Reliab. Phys. Symp., 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Integrand Reliability Workshop Final Report, 2004 Integrand Reliability Workshop Final Report, 2004, 2004

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Proc. Int. Reliability Physics Symposium, 2004 Proc. Int. Reliability Physics Symposium, 2004, 2004

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

2004 Electronic Materials Conf. 2004 Electronic Materials Conf., 2004

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

NBTI Dependence on Dielectric Thickness in Ultra-scaled HfSiO Dielectric/ALD-TiN Gate Stacks

SA Krishnan, M Quevedo, R Harris, PD Kirsch, R Choi, BH Lee, ...

2005 International conference on SOLID STATE DEVICES AND MATERIALS, 2-4

2

Enhanced Power Performance of Enhancement-Mode Al Ga As/In Ga As pHEMTs Using a Low-BCB Passivation......................................... H.-C. Chiu, M.-J. Hwu, S.-C. Yang …

HJ Cho, CS Kang, K Onishi, S Gopalan, R Nieh, R Choi, S Krishnan, ...

2002 IEEE International Reliability Physics Symp. 2002 IEEE International Reliability Physics Symp., 2002

R Choi, BH Lee, CD Young, JH Sim, G Bersuker

Device Research Conf., 2004 Device Research Conf., 2004, 2004

JH Sim, R Choi, BH Lee, C Young, P Zeitzoff, DL Kwong, G Bersuker

Tech. Dig. Symp. VLSI Tech., 2004 Tech. Dig. Symp. VLSI Tech., 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Ultra-thin Hafnium Silicate films with TaN and Polysilicon gates for gate dielectric application

S Gopalan, E Dharmarajan, K Onishi, R Nieh, CS Kang, R Choi, HJ Cho, ...

Proc. ALD Conf., Helsingki, 2004 Proc. ALD Conf., Helsingki, 2004, 2004

BH Lee, C Young, R Choi, JH Sim, G Bersuker

Proc. Int. Reliability Physics Symp., 2003 Proc. Int. Reliability Physics Symp., 2003, 2003

CW Chen, CH Chien, YC Chen, SL Hsu, CY Chang

Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0. 53Ga0. 47As Capacitors: Chemical Composition and Electrical Characteristics

YCB Nguyen, R Choi, PC McIntyre, H Kim

Dig. Tech. Papers 2003 VLSI Symposium Dig. Tech. Papers 2003 VLSI Symposium, 2003

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ...

Effects of O2 Plasma Treatment on the Reliabilities of Metal Gate/High-k Dielectric MOSFETs

KT Lee, CY Kang, R Choi, SC Song, BH Lee, HD Lee, YH Jeong

SOLID STATE DEVICES AND MATERIALS, 718

Pulsed Characterization of Charge-trapping Behavior in High-κ Gate Dielectrics

CD Young, R Choi, BH Lee

Proc. Solid State Devices and Materials, 2004 Proc. Solid State Devices and Materials, 2004, 2004

CD Young, Y Zhao, M Pendley, BH Lee, K Matthews, JH Sim, R Choi, ..