Publications

Refereed Journal Publications

  • Peters, Andrew J.; Nation, Benjamin D.; Nicoloso, Daniel; Ludovice, Peter J.; Henderson, Clifford L.,” Protracted Colored Noise Dynamics Applied to Linear Polymer Systems,” Macromolecular Theory and Simulations, 27(2), (2018).
  • Sharp, Brandon L.; Narcross, Hannah L.; Tolbert, Laren M.; Henderson, Clifford L., “Positive-​tone crosslinked molecular resist based on acid-​catalyzed depolymerization,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 35(6), 06GE03/1-06GE03/7, (2017).
  • Narcross, Hannah; Sharp, Brandon L.; Tolbert, Laren M.; Henderson, Clifford L., “Crosslinkable photoacid generators for ultrahigh loading in epoxide functionalized molecular resists,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 35(6), 06GE02/1-06GE02/6, (2017).
  • Breaux, Caleb L.; Henderson, Clifford L.; Li, Haibo; Li, Bing; Neisser, Mark, “Synthesis and self-​assembly of low χ block copolymers with large periodicity,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 35(6), 06GI02/1-06GI02/9, (2017).
  • Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Block copolymer directed self-​assembly using chemoepitaxial guiding underlayers with topography,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 35(6), 06GI01/1-06GI01/11, (2017).
  • Peters, Andrew J.; Lawson, Richard A.; Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Calculations of the free energy of dislocation defects in lamellae forming diblock copolymers using thermodynamic integration,” Journal of Micro/Nanolithography, MEMS, and MOEMS, (2016).
  • Peters, Andrew J.; Lawson, Richard A.; Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Simulation study of the effect of molar mass dispersity on domain interfacial roughness in lamellae forming block copolymers for directed self-assembly,” Nanotechnology, 26(38), pp. 385301/1-385301/12, (2015).
  • Olynick, Deirdre L.; Perera, Pradeep; Schwartzberg, Adam; Kulshreshta, Prashant; De Oteyza, Dimas G.; Jarnagin, Nathan; Henderson, Cliff; Sun, Zhiwei; Gunkel, Ilja; Russell, Thomas, “Selective laser ablation in resists and block copolymers for high resolution lithographic patterning,” Journal of Photopolymer Science and Technology, 28(5), pp. 663-668, (2015).
  • Peters, Andrew J.; Lawson, Richard A.; Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Free energy difference of pitch variation and calculation of the order-disorder transition in block copolymer systems using thermodynamic integration ,” Materials Research Express, 2(7), pp. 1-10, (2015).
  • Sojoudi, Hossein; Baltazar, Jose; Tolbert, Laren; Henderson, Clifford L.; Graham, Samuel, “Formation of Air Stable Graphene p-n-p Junctions Using an Amine-Containing Polymer Coating,” Advanced Materials Interfaces (2014), 1(9).
  • Lawson, Richard A.; Peters, Andrew J.; Nation, Benjamin; Ludovice, Peter J.;Henderson, Clifford L., “Simulation study of effect of differences in block energy and density on self-assembly of block copolymers,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 13(3), pp. 031308/1-031308/11, (2014).
  • Paniagua, Sergio A.; Baltazar, Jose; Sojoudi, Hossein; Mohapatra, Swagat K.; Zhang, Siyuan; Henderson, Clifford L.; Graham, Samuel; Barlow, Stephen; Marder, Seth R., “Production of heavily n- and p-doped CVD graphene with solution-processed redox-active metal-organic species,” Materials Horizons, 1(1), pp. 111-115, (2014).
  • Altun-Ciftcioglu, Goekcen A.; Ersoy-Mericboyu, Ayseguel; Henderson, Clifford L., “Simulation of models for multifunctional photopolymerization kinetics,” Polymer Engineering & Science, 54(8), pp. 1737-1746, (2014).
  • Peters, Andrew J.; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Detailed molecular dynamics studies of block copolymer directed self-assembly: Effect of guiding layer properties ,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 31(6), pp. 06F302/1-06F302/6, (2013).
  • Bhuwania, Nitesh; Labreche, Ying; Achoundong, Carine S. K.; Baltazar, Jose; Burgess, Steven K.; Karwa, Shweta; Xu, Liren; Henderson, Clifford L.; Williams, P. Jason; Koros, William J., “Engineering substructure morphology of asymmetric carbon molecular sieve hollow fiber membranes,” Carbon, 76, pp. 417-434, (2014).
  • Peters, Andrew J.; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Detailed molecular dynamics studies of block copolymer directed self-assembly: Effect of guiding layer properties,“ Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 31(6), pp. 06F302/1-06F302/6, (2013).
  • Fu, Boyi; Baltazar, Jose; Hu, Zhaokang; Chien, An-Ting; Kumar, Satish; Henderson, Clifford L.; Collard, David M.; Reichmanis, Elsa, “High Charge Carrier Mobility, Low Band Gap Donor-Acceptor Benzothiadiazole-oliogothiphene Based Polymeric Semiconductors,” Chemistry of Materials, 24(21), pp. 4123-4133, (2012).
  • Sojoudi, Hossein; Baltazar, Jose; Tolbert, Laren M.; Henderson, Clifford L.; Graham, Samuel, “Creating Graphene p-n Junctions Using Self-Assembled Monolayers,” ACS Applied Materials & Interfaces, 4(9), pp. 4781-4786, (2012).
  • Baltazar, Jose; Sojoudi, Hossein; Paniagua, Sergio A.; Kowalik, Janusz; Marder, Seth R.; Tolbert, Laren M.; Graham, Samuel; Henderson, Clifford L., “Facile Formation of Graphene P-N Junctions Using Self-Assembled Monolayers,” Journal of Physical Chemistry C, 116(36), pp. 19095-19103, (2012).
  • Sojoudi, Hossein; Baltazar, Jose; Henderson, Clifford; Graham, Samuel, “Impact of post-growth thermal annealing and environmental exposure on the unintentional doping of CVD graphene films,” Journal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena, 30(4), pp. 041213/1-041213/6, (2012).
  • Kim, Namsu; Potscavage, William J., Jr.; Sundaramoothi, Annapoorani; Henderson, Clifford; Kippelen, Bernard; Graham, Samuel, “A correlation study between barrier film performance and shelf lifetime of encapsulated organic solar cells,” Solar Energy Materials & Solar Cells, 101, pp. 140-146, (2012).
  • Altun-Ciftcioglu, Gokcen A.; Ersoy-Mericboyu, Avsegul; Henderson, Clifford L., “Stochastic modeling and simulation of photopolymerization processes,” Polymer Engineering & Science, 51(9), pp. 1710-1719, (2011).
  • Boddapati, Aparna; Rahane, Santosh B.; Slopek, Ryan P.; Breedveld, Victor; Henderson, Clifford L.; Grover, Martha A., “Gel Time Prediction of Multifunctional Acrylates Using a Kinetics Model,” Polymer, 52(3), pp. 866-873, (2011).
  • Jariwala, Amit S.; Ding, Fei, Boddapati, Aparna; Breedveld, Victor; Grover, Martha; Henderson, Clifford L.; Rosen, David W., “Modeling effects of oxygen inhibition in mask based stereolithography,” Solid Freeform Fabrication Symposium Proceedings 2010, pp. 513-523, (2010).
  • Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitors,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 28(6), pp. C6S12-C6S18, (2010).
  • Yeh, Wei-Ming; Noga, David E.; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Comparison of positive tone versus negative tone resist pattern collapse behavior,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena , 28(6), pp. C6S6-C6S11, (2010).
  • Lawson, Richard A.; Henderson, Clifford L.. “Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 28(6), pp. C6H34-C6H39, (2010).
  • Chung, Won J.; Henderson, Clifford L.; Ludovice, Peter J.. “RIS model of the helix-kink conformation of erythro diisotactic polynobornene.,” Macromolecular Theory and Simulations, 19(7), pp. 421-431, (2010).
  • Lawson, Richard A.; Henderson, Clifford L.. “Mesoscale kinetic Monte Carlo simulations of molecular resists: effects of photoacid homogeneity on resolution, line-edge roughness, and sensitivity,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 9(1), pp. 013016/1-013016/8, (2010).
  • Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Single-component molecular resists containing bound photoacid generator functionality,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 9(1), pp. 013015/1-013015/7, (2010).
  • Lawson, Richard A.; Noga, David; Younkin, Todd R.; Tolbert, Laren M.; Henderson, Clifford L., “Negative Tone Molecular Resists Using Cationic Polymerization: Comparison of Epoxide and Oxetane Functional Groups,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 27(6), pp. 2998-3003, (2009).
  • Lawsom, Richard A.; Yeh, Wei Ming; Henderson, Clifford L., “Bond Contribution Model for the Prediction of Glass Transition Temperature in Polyphenol Molecular Glass Resists,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 27(6), pp. 3004-3009, (2009).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Tolbert, Laren; Younkin, Todd R.; Henderson, Clifford L. “High Resolution Negative Tone Molecular Resist Based on Di-Functional Epoxide Polymerization,” Microelectronic Engineering, 86(4-6), pp. 734-737, (2009).
  • Lawson, Richard A.; Henderson, Clifford L. “Mesoscale Simulation of Molecular Resists: The Effect of PAG Distribution Homogeneity on LER,” Microelectronic Engineering, 86(4-6), pp. 741-744, (2009).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Tolbert, Laren; Henderson, Clifford L. “Effect of Acid Anion on the Behavior of Single Component Molecular Resists Incorporating Ionic Photoacid Generators,” Microelectronic Engineering, 86(4-6), pp. 738-740, (2009).
  • Wang, Debin; Kim, Suenne; Underwood, William D., II; Giordano, Anthony J.; Henderson, Clifford L.; Dai, Zhenting; King, William P.; Marder, Seth R.; Riedo, Elisa. “Direct writing and characterization of poly(p-phenylene vinylene) nanostructures,” Applied Physics Letters, 95(23), 233108/1-233108/3, (2009).
  • Gonsalves, Kenneth E.; Wang, Mingxing; Lee, Cheng-Tsung; Wang, Yueh; Tapia-Tapia, Melina; Batina, Nikola; Henderson, Clifford L.. “Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography,” Journal of Materials Chemistry, 19(18), pp. 2797-2802, (2009).
  • Lawson, Richard A.; Noga, David; Tolbert, Laren M.; Henderson, Clifford L., “Non-Ionic PAG Behavior Under High Energy Exposure Sources,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 8, 043010, (2009).
  • Lawson, Richard A.; Noga, David; Tolbert, Laren M.; Henderson, Clifford L., “Mesoscale Kinetic Monte Carlo Simulations of Molecular Resists: The Effect on PAG Homogeneity on Resolution, LER, and Sensitivity,” Journal of Micro/Nanolithography, MEMS, and MOEMS, (accepted 2009).
  • Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “Single-Component Molecular Resists Containing Bound Photoacid Generator Functionality,” Journal of Micro/Nanolithography, MEMS, and MOEMS, (accepted 2009).
  • Lee, Cheng-Tsung; Lawson, Richard A.; Henderson, Clifford L. “Understanding the Effects of Photoacid Distribution Homogeneity and Diffusivity on Critical Dimension Control and Line Edge Roughness in Chemically Amplified Resists,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 26(6), pp. 2276-2280, (2008).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Wang, Yueh; Tolbert, Laren; Henderson, Clifford L. “Epoxide functionalized molecular resists for high resolution electron-beam lithography,” Microelectronic Engineering, 85(5-6), pp. 959-962, (2008).
  • Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Mingxing; Gonsalves, Kenneth E.; Wang, Yueh; Roberts, Jeanette M. “The effect of direct PAG incorporation into the polymer main chain on reactive ion etch resistance of 193nm and EUV chemically amplified resists,” Microelectronic Engineering, 85(5-6), pp. 963-965, (2008).
  • Hua, Yueming; King, William P.; Henderson, Clifford L.. “Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithography,” Microelectronic Engineering, 85(5-6), pp. 934-936, (2008).
  • Wang, Mingxing; Lee, Cheng-Tsung; Henderson, Clifford L.; Gonsalves, Kenneth E. “Fullerene grafted photoacid generator (PAG) bound polymer resists.” Journal of Photopolymer Science and Technology, 21(6), pp. 747-751, (2008).
  • Wang, Mingxing; Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Yueh; Roberts, Jeanette M.; Gonsalves, Kenneth E. “Incorporation of ionic photoacid generator (PAG) and base quencher into the resist polymer main chain for sub-50 nm resolution patterning,” Journal of Materials Chemistry, 18(23), pp. 2704-2708, (2008).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Henderson, Clifford L.; Whetsell, Robert; Tolbert, Laren; Wang, Yueh. “Influence of solubility switching mechanism on resist performance in molecular glass resists,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 25(6), pp. 2140-2144, (2007).
  • Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Mingxing; Gonsalves, Kenneth E.; Wang, Yueh. “Effects of photoacid generator incorporation into the polymer main chain on 193 nm chemically amplified resist behavior and lithographic performance,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 25(6), pp. 2136-2139, (2007).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “Transport behavior of atomic layer deposition precursors through polymer masking layers: Influence on area selective atomic layer deposition,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 25(5), pp. 1721-1728, (2007).
  • Hua, Yueming; Saxena, Shubham; Henderson, Clifford L.; King, William P. “Nanoscale thermal lithography by local polymer decomposition using a heated atomic force microscope cantilever tip,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 6(2), pp. 023012/1-023012/6, (2007).
  • Lee, Cheng-Tsung; Wang, Yueh; Roberts, Jeanette; Henderson, Clifford L.. “A Simple Method for Measurement of Photoacid Generator Photoreaction Kinetics in Formulated, Chemically Amplified Photoresist Films,” Electrochemical and Solid-State Letters, 10(9), pp. H273-H277, (2007).
  • Wang, Guoan; Romeo, Michael; Henderson, Cliff; Papapolymerou, John. “Novel reliable RF capacitive MEMS switches with photodefinable metal-oxide dielectrics,” Journal of Microelectromechanical Systems, 16(3), pp. 550-555, (2007).
  • Sinha, A.; Henderson, C. L.; Hess, D. W. “Area selective atomic layer deposition of titanium dioxide,” ECS Transactions, 3(15, Atomic Layer Deposition Applications 2), pp. 233-241, (2007).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “Area selective atomic layer deposition of titanium dioxide: effect of precursor chemistry,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 24(6), pp. 2523-2532, (2006).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “A top surface imaging method using area selective ALD on chemically amplified polymer photoresist films.,” Electrochemical and Solid-State Letters, 9(11), pp. G330-G333, (2006).
  • Wang, Mingxing; Jarnagin, Nathan D.; Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Yueh; Roberts, Jeanette M.; Gonsalves, Kenneth E. “Novel polymeric anionic photoacid generators (PAGs) and corresponding polymers for 193 nm lithography,” Journal of Materials Chemistry, 16(37), pp. 3701-3707, (2006).
  • White, Celesta E.; Balogun, Abimbola; Henderson, Clifford L.. “Effects of the photoacid generator type on the imaging and thermal decomposition properties of photodefinable, thermally sacrificial poly(propylene carbonate) materials.,” Journal of Applied Polymer Science, 102(1), pp. 266-271, (2006).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “Area-Selective ALD of Titanium Dioxide Using Lithographically Defined Poly(methyl methacrylate) Films,” Journal of the Electrochemical Society, 153(5), pp. G465-G469, (2006).
  • Lillie, Jeffrey J.; Thomas, Mikkel A.; Jokerst, Nan-Marie; Ralph, Stephen E.; Dennis, Karla A.; Henderson, Clifford L.. “Multimode interferometric sensors on silicon optimized for fully integrated complementary-metal-oxide-semiconductor chemical-biological sensor systems,” Journal of the Optical Society of America B: Optical Physics, 23(4), pp. 642-651, (2006).
  • Slopek, Ryan P.; McKinley, Haris K.; Henderson, Clifford L.; Breedveld, Victor. “In situ monitoring of mechanical properties during photopolymerization with particle tracking microrheology,” Polymer, 47(7), pp. 2263-2268, (2006).
  • Yamanaka, Kazuhiro; Romeo, Michael; Maeda, Kazuhiko; Henderson, Clifford L.. “Novel low-dielectric constant photodefinable polyimides for low-temperature polymer processing.,” ECS Transactions, 3(11, Science and Technology of Dielectrics for Active and Passive Photonic Devices), pp. 107-115, (2006).
  • Hua, Yueming; Henderson, Clifford L.. “Photodefinable thermally sacrificial polycarbonate materials and methods for MEMS and microfluidic device fabrication.” ECS Transactions, 3(10, Chemical Sensors 7 and MEMS/NEMS 7), pp. 389-397, (2006).
  • Romeo, Michael; Wang, Guoan; Papapolymerou, John; Henderson, Clifford L.. “MEMS capacitive switch fabrication using photodefinable metal oxide dielectrics,” ECS Transactions, 3(10, Chemical Sensors 7 and MEMS/NEMS 7), pp. 367-374, (2006).
  • Cannon, Andrew H.; Hua, Yueming; Henderson, Clifford L.; King, William P. “Self-assembly for three-dimensional integration of functional electrical components,” Journal of Micromechanics and Microengineering, 15(11), pp. 2172-2178, (2005).
  • McCoy, Kendra; Hess, Dennis W.; Henderson, Clifford L.; Tolbert, Laren M. “Patterning via surface monolayer initiated polymerization: A study of surface initiator photoreaction kinetics,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 22(6), pp. 3503-3508, (2004).
  • Barstow, Sean J.; Jeyakumar, Augustin; Roman, Paul J., Jr.; Henderson, Clifford L.. “Direct Photopatterning of Metal Oxide Structures Using Photosensitive Metallorganics,” Journal of the Electrochemical Society, 151(10), pp. F235-F241, (2004).
  • Tang, Yanyan; Henderson, Cliff; Muzzy, John; Rosen, David W. “Stereolithography cure modelling and simulation,” International Journal of Materials & Product Technology, 21(4), pp. 255-272, (2004).
  • Berger, Cody M.; Henderson, Clifford L.. “Improved method for measuring photoacid generator kinetics in polymer thin films using normalized interdigitated electrode capacitance data.” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 22(3), pp. 1163-1173, (2004).
  • Henderson, Clifford L.; King, William P.; White, Celesta E.; Rowland, Harry R. “Microsystems manufacturing via embossing of thermally sacrificial polymers,” Materials Research Society Symposium Proceedings, EXS-2(Nontraditional Approaches to Patterning), pp. 17-19, (2004).
  • Singh, Lovejeet; Ludovice, Peter J.; Henderson, Clifford L.. “Effect of thin film confinement on the transport properties of ultra-thin polymer films.” Materials Research Society Symposium Proceedings, 790(Dynamics in Small Confining Systems--2003), 203-208, (2004).
  • Hoskins, Trevor; Chung, Won Jae; Agrawal, Ankur; Ludovice, Peter J.; Henderson, Clifford L.; Seger, Larry D.; Rhodes, Larry F.; Shick, Robert A. “Bis(trifluoromethyl)carbinol-Substituted Polynorbornenes: Dissolution Behavior,” Macromolecules, 37(12), pp. 4512-4518, (2004).
  • Wang, Guoan; Jeyakumar, Augustin; Papapolymerou, John; Henderson, Clifford L.. “Photodefinable metal oxide dielectrics: A novel method for fabricating low cost RF capacitive MEMS switches,” Materials Research Society Symposium Proceedings, 783, pp. 91-96, (2004).
  • Singh, Lovejeet; Ludovice, Peter J.; Henderson, Clifford L.. “Influence of molecular weight and film thickness on the glass transition temperature and coefficient of thermal expansion of supported ultrathin polymer films,” Thin Solid Films, 449(1,2), pp. 231-241, (2004).
  • Berger, Cody M.; Byers, Jeffrey D.; Henderson, Clifford L.. “Using Interdigitated Electrodes for Measuring Photoacid Generator Kinetics in Chemically Amplified Resists,” Journal of the Electrochemical Society, 151(2), pp. G119-G130, (2004).
  • Jeyakumar, Augustin; Henderson, Clifford L.; Roman, Paul, Jr.; Suh, Seigi. “Electron beam lithography process using radiation sensitive carboxylate metalorganic precursors,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 21(6), pp. 3157-3161, (2003).
  • White, Celesta E.; Henderson, Clifford L.. “Development of improved photosensitive polycarbonate systems for the fabrication of microfluidic devices,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena, 21(6), pp. 2926-2930, (2003).
  • Mukherjee, Shyama P.; Roman, Paul J., Jr.; Madsen, Harold O.; Svendsen, Leo G.; Fury, Michael A.; Barstow, Sean J.; Jeykumar, Augustin; Henderson, Clifford L.. “High dielectric constant metal oxide films via photochemical metal organic deposition (PMOD) process.” Proceedings - Electrochemical Society, Volume Date 2002, 2002-28(Physics and Technology of High-k Gate Dielectrics I), pp. 263-275, (2003).
  • Berger, C.M.; Henderson, C.L. “The effect of humidity on water sorption in photoresist polymer thin films,” Polymer, 44(7), pp. 2101-2108, (2003).
  • Wang, G.; Barstow, S.; Jeyakumar, A.; Papapolymerou; Henderson, C.L. “Low Cost RF MEMS Switches Using Photodefinable Mixed Oxide Dielectrics,” 2003 IEEE International Microwave Symposium Digest, 3, pp. 1633-1636, (2003).
  • Ali, A.M.; Gonsalves, K.E.; Jeyakumar, A.; Agrawal, A.; Henderson, C.L. “A new nanocomposite resist for low and high voltage electron beam lithography,” Microelectronics Engineering, 70(1), 19-29, (2003).
  • Jayachandran, J.P.; Reed, H.A.; Zhen, H.; Rhodes, L.F.; Henderson, C.L.; Bidstrup Allen, S.A.; Kohl, P.A. “Air-channel fabrication for microelectromechanical systems via sacrificial photosensitive polycarbonates,” Journal of Microelectromechanical Systems, 12(2), pp. 147-159, (2003).
  • Liu, T.; Henderson, C.L.; Samuels, R. “Quantitative characterization of the optical properties of absorbing polymer films: Comparative investigation of the internal reflection intensity analysis method,” Journal of Polymer Science, Part B: Polymer Physics, 41(8), pp. 842-855, (2003).
  • Wu, X.; Reed, H.A.; Rhodes, L.F.; Elce, E.; Ravikiran, R.; Shick, R.A.; Henderson, C.L.; Bidstrup Allen, S.A.; Kohl, P.A. “Photoinitiation systems and thermal decomposition of photodefinable sacrificial materials,” Journal of Applied Polymer Science, 88(5), pp. 1186-1195, (2003).
  • Wu, X.; Reed, H.A.; Wang, Y.; Rhodes, L.F.; Elce, E.; Ravikiran, R.; Shick, R.A.; Henderson, C.L.; Bidstrup Allen, S.A.; Kohl, P.A. “Fabrication of Microchannels using Polynorbornene Photosensitive Sacrificial Materials,” Journal of the Electrochemical Society, 150(9), pp. H205-H213, (2003).
  • Wu, X.; Reed, H.A.; Rhodes, L.F.; Elce, E.; Ravikiran, R.; Shick, R.A.; Henderson, C.L.; Bidstrup Allen, S.A.; Kohl, P.A. “Lithographic Characteristics and Thermal Processing of Photosensitive Sacrificial Materials,” Journal of the Electrochemical Society, 149(10), pp. G555-G561, (2002).
  • Henderson, C.L.; Barstow, S.; Jeyakumar, A.; McCoy, K.; Hess, D.W.; Tolbert, L.M. “Novel approaches to nanopatterning: From surface monolayer initiated polymerization to hybrid organometallic-organic bilayers,” Materials Research Society Symposium Proceedings, 705(Nanopatterning: From Ultralarge-Scale Integration to Biotechnology), pp. 3-14, (2002).
  • Reed, H.A.; White, C.E.; Rao, V.; Bidstrup Allen, S.A.; Henderson, Clifford L.; Kohl, Paul A. “Fabrication of microchannels using polycarbonates as sacrificial materials,” Journal of Micromechanics and Microengineering, 11(6), pp. 733-737, (2001).
  • Chen, Xiaohua; Tolbert, Laren M.; Henderson, Clifford L.; Hess, Dennis W.; Ruhe, Jurgen. “Polymer pattern formation on SiO2 surfaces using surface monolayer initiated polymerization,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures, 19(6), pp. 2013-2019, (2001).
  • Erdmann, A.; Henderson, C.L.; Willson, C.G., “Impact of exposure induced refractive index changes of photoresists on the photolithographic process,” Journal of Applied Physics, 89(12), pp. 8163-8168, (2001).
  • Chen, Xiaohua; Tolbert, Laren M.; Hess, Dennis W.; Henderson, Cliff. “A Bergman Cyclization Approach to Polymers for Thin-Film Lithography,” Macromolecules, 34(12), pp. 4104-4108, (2001).
  • Forney, L.J.; Henderson, C.L. “Electrochemical membranes: transport limitations for absorbed gases,” Journal of Applied Electrochemistry, 28(4), pp. 423-431, (1998).
  • P.C. Tsiartas, L.W. Flanagin, C.L. Henderson, W.D. Hinsberg, I.C. Sanchez, R.T. Bonnecaze, C.G. Willson, "The Mechanism of Phenolic Polymer Dissolution: A New Perspective," Macromolecules, 30, pp. 4656-4664, (1997).

Refereed Conference Proceedings and Publications

  • Lawson, Richard A.; Henderson, Clifford L., “Investigating SEM metrology effects using a detailed SEM simulation and stochastic resist model,” Proceedings of SPIE, 9424(Metrology, Inspection, and Process Control for Microlithography XXIX), pp. 94240K/1-94240K/18, (2015).
  • Lawson, Richard A.; Narcross, Hannah; Sharp, Brandon; Chun, Jun Sung; Neisser, Mark; Tolbert, Laren M.; Henderson, Clifford L., “Optimizing performance in cross-linking negative-tone molecular resists,” Proceedings of SPIE, 9425(Advances in Patterning Materials and Processes XXXII), pp. 94250A/1-94250A/12, (2015).
  • Narcross, Hannah; Lawson, Richard A.; Sharp, Brandon; Chun, Jun Sung, Neisser, Mark; Tolbert, Laren M.; Henderson, Clifford L., “Effect of molecular resist structure on glass transition temperature and lithographic performance in epoxide functionalized negative tone resists,” Proceedings of SPIE, 9425(Advances in Patterning Materials and Processes XXXII), pp. 94250C/1-94250A/8, (2015).
  • Sharp, Brandon; Lawson, Richard A.; Fralick, Ashten; Narcross, Hannah; Chun, Jun Sung; Neisser, Mark; Tolbert, Laren M.; Henderson, Clifford L., “Base developable negative tone molecular resists based on epoxide cross-linking,” Proceedings of SPIE, 9425(Advances in Patterning Materials and Processes XXXII), pp. 94251S/1-94251S/8, (2015).
  • Nation, Benjamin D.; Peters, Andrew; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Effect of chemoepitaxial guiding underlayer design on the pattern quality and shape of aligned lamellae for fabrication of line-space patterns,” Proceedings of SPIE, 9423(Alternative Lithographic Technologies VIII), pp. 94231J/1-94231J/16, (2015).
  • Lawson, Richard A.; Peters, Andrew J.; Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Effect of XN and underlayer composition on self-assembly of thin films of block copolymers with energy asymmetric blocks,” Proceedings of SPIE, 9423(Alternative Lithographic Technologies VIII), pp. 94231L/1-94231L/18, (2015).
  • Peters, Andrew J.; Lawson, Richard A.; Nation, Benjamin, D.; Ludovice, Peter J.; Henderson, Clifford L., “Coarse-grained molecular dynamics modeling of the kinetics of lamellar BCP defect annealing,” Proceedings of SPIE, 9423(Alternative Lithographic Technologies VIII), pp. 94231Y/1-94231Y/15, (2015).
  • Lawson, Richard A.; Chun, Jun Sung; Neisser, Mark; Tolbert, Laren M.; Henderson, Clifford L., “Methods of controlling cross-linking in negative-tone resists,” Proceedings of SPIE, 9051(Advances in Patterning Materials and Processes XXXI), pp. 90510Q/1-90510Q/16, (2014).
  • Lawson, Richard A.; Chun, Jun Sung; Neisser, Mark; Tolbert, Laren M.; Henderson, Clifford L., “Positive tone cross-linked resists based on photoacid inhibition of cross linking,” Proceedings of SPIE, 9051(Advances in Patterning Materials and Processes XXXI), pp. 90510E/1-90510E/14, (2014).
  • Nation, Benjamin D.; Peters, Andrew; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Effect of guiding layer topography on chemoepitaxially directed self-assembly of block copolymers for pattern density multiplication,” Proceedings of SPIE, 9049(Alternative Lithographic Technologies VI), pp. 90492K/1-90492K/8, (2014).
  • Lawson, Richard A.; Peters, Andrew J.; Nation, Benjamin; Ludovice, Peter J.; Henderson, Clifford L., “Simulation study of the effect of differences in block energy and density on the self-assembly of block copolymers,” Proceedings of SPIE, 9049(Alternative Lithographic Technologies VI), pp. 90490S/1-90490S/17, (2014).
  • Nation, Benjamin D.; Peters, Andrew; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Predicting process windows for pattern density multiplication using block copolymer directed self-assembly in conjunction with chemoepitaxial guiding layers,” Proceedings of SPIE, 9049(Alternative Lithographic Technologies VI), pp. 90491C/1-90491C/8, (2014).
  • Peters, Andrew J.; Lawson, Richard A.; Nation, Benjamin D.; Ludovice, Peter J.; Henderson, Clifford L., “Understanding defects in DSA: calculation of free energies of block copolymer DSA systems via thermodynamic integration of a mesoscale block-copolymer model,” Proceedings of SPIE, 9049(Alternative Lithographic Technologies VI), pp. 90492E/1-90492E/8, (2014).
  • Lawson, Richard A.; Cheng, Jing; Cheshmehkani, Ameneh; Tolbert, Laren M.; Henderson, Clifford L., “Positive tone resists based on network depolymerization of molecular resists,“ Proceedings of SPIE, 8682(Advances in Resist Materials and Processing Technology XXX), 868221/1-868221/10, (2013).
  • Peters, Andrew J.; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Effects of block copolymer polydispersity and χN on pattern line edge roughness and line width roughness from directed self-assembly of diblock copolymers,” Proceedings of SPIE, 8680(Alternative Lithographic Technologies V), 868020/1-868020/8, (2013).
  • Cheng, Jing; Lawson, Richard A.; Yeh, Wei-Ming; Jarnagin, Nathan D.; Tolbert, Laren M.; Henderson, Clifford L., “PS-b-PAA as a high X polymer for directed self-assembly: A study of solvent and thermal annealing processes for PS-b-PAA,“ Proceedings of SPIE, 8680(Alternative Lithographic Technologies V), 868022/1-868022/9, (2013).
  • Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “Resist surface crosslinking using amine-based reactive rinses to mitigate pattern collapse in thin film lithography,” Proceedings of SPIE, 8325 (Advances in Resist Materials and Processing XXiX), pp. 83251X/1-83251X/7, (2012).
  • Cheng, Jing; Lawson, Richard A.; Yeh, Wei-Ming; Jarnagin, Nathan D.; Peters, Andrew; Tolbert, Laren M.; Henderson, Clifford L., “Directed self-assembly of poly(styrene)-b-poly(acrylic acid) copolymers for sub-20nm pitch patterning,” Proceedings of SPIE, 8323 (Alternative Lithographic Technologies IV), pp. 83232R/1-83232R/8, (2012).
  • Jarnagin, Nathan D.; Cheng, Jing; Peters, Andrew; Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “Investigation of high c block copolymers for directed self-assembly: synthesis and characterization of PS-b-PHOST,” Proceedings of SPIE, 8323 (Alternative Lithographic Technologies IV), pp. 832310/1-832310/9, (2012).
  • Peters, Andrew J.; Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Detailed mesoscale dynamic simulation of block copolymer directed self-assembly processes: application of protracted colored noise dynamics,” Proceedings of SPIE, 8323 (Alternative Lithographic Technologies IV), pp. 83231T/1-83231T/11, (2012).
  • Lawson, Richard A.; Ludovice, Peter J.; Henderson, Clifford L., “Development of realistic potentials for the simulation of directed self-assembly of PS-b-PMMA di-block copolymers,” Proceedings of SPIE, 7970 (Alternative Lithographic Technologies III), pp. 79700N/1-79700N/10, (2011).
  • Yeh, Wei-Ming; Lawson, Richard A.; Henderson, Clifford L., “A comprehensive model and method for model parameterization for predicting pattern collapse behavior in photoresist nanostructures,” Proceedings of SPIE, 7972(Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), pp. 79721X/1-79721X/10, (2011).
  • Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “The effect of drying rate on pattern collapse performance in thin film lithography,” Proceedings of SPIE, 7972(Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), pp. 79721Y/1-79721Y/8, (2011).
  • Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “A study of reactive adhesion promoters and their ability to mitigate pattern collapse in thin film lithography,” Proceedings of SPIE, 7972(Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), pp. 79721W/1-79721W/7, (2011).
  • Tolbert, Laren M.; Henderson, Clifford L.; Yeh, Wei-Ming; Noga, David E.; Lawson, Richard A., “Avoiding pattern collapse in polymeric lithographic nanostructures,” Polymer Preprints (American Chemical Society, Division of Polymer Chemistry), 52(1), pp. 161, (2011).
  • Noga, David E.; Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Probing the mechanical properties of nanoscale polymer features and thin films,” Polymer Preprints (American Chemical Society, Division of Polymer Chemistry), 51(1), pp. 261, (2010).
  • Yeh, Wei-Ming; Noga, David E.; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Thin film buckling as a method to explore the effect of reactive rinse treatments on the mechanical properties of resist thin films,” Proceedings of SPIE, 7639(Pt. 1, Advances in Resist Materials and Processing Technology XXVII), pp. 76391I/1-76391I/6, (2010).
  • Noga, David E.; Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “The use of surface modifiers to mitigate pattern collapse in thin film lithography,” Proceedings of SPIE, 7639(Pt. 1, Advances in Resist Materials and Processing Technology XXVII), pp. 76391H/1-76391H/6, (2010).
  • Noga, David E.; Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Methods to explore and prevent pattern collapse in thin film lithography,” Proceedings of SPIE, 7639(Pt. 2, Advances in Resist Materials and Processing Technology XXVII), pp. 76392O/1-76392O/6, (2010).
  • Lawson, Richard A.; Noga, David E.; Cheng, Jing; Tolbert, Laren M.; Henderson, Clifford L.. “Non-traditional resist designs using molecular resists: positive tone cross-linked and non-chemically amplified molecular resists,” Proceedings of SPIE, 7639(Pt. 2, Advances in Resist Materials and Processing Technology XXVII), pp. 76392F/1-76392F/13, (2010).
  • Lawson, Richard A.; Henderson, Clifford L.. “Three-dimensional mesoscale model for the simulation of LER in photoresists,” Proceedings of SPIE, 7639(Pt. 2, Advances in Resist Materials and Processing Technology XXVII), 76392G/1-76392G/10, (2010).
  • Lawson, Richard A.; Cheng, Jing; Noga, David E.; Younkin, Todd R.; Tolbert, Laren M.; Henderson, Clifford L.. “Aqueous and solvent developed negative-tone molecular resists,” Proceedings of SPIE, 7639(Pt. 1, Advances in Resist Materials and Processing Technology XXVII), 76390O/1-76390O/13, (2010).
  • Noga, David E.; Yeh, Wei-Ming; Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L.. “Probing the mechanical properties of nanoscale polymer features and thin films,” Abstracts of Papers, 239th ACS National Meeting, San Francisco, CA, United States, March 21-25, 2010, pp. POLY-243, (2010).
  • Vargas Morales, Juan M.; Tolbert, Laren M.; Henderson, Clifford L.; Chu, Hua-Wei. “Approach to the directed synthesis of graphene nanoribbons,” Abstracts of Papers, 239th ACS National Meeting, San Francisco, CA, United States, March 21-25, 2010, pp. ORGN-1150, (2010).
  • Cheng, Jing; Lawson, Richard A.; Noga, David E.; Tolbert, Laren M.; Henderson, Clifford L.. “Synthetic approaches to epoxy-based molecular negative-tone resists with enhanced patterning performance,” Abstracts of Papers, 239th ACS National Meeting, San Francisco, CA, United States, March 21-25, 2010, ORGN-741, (2010).
  • Lawson, Richard A.; Tolbert, Laren M.; Henderson, Clifford L., “Single Component Molecular Resists Containing Bound Photoacid Generator Functionality,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 72733C/1-9, (2009).
  • Noga, David E.; Lawson, Richard A.; Lee, Cheng-Tsung; Tolbert, Laren M.; Henderson, Clifford L., “Understanding Pattern Collapse in High-Resolution Lithography: Impact of Feature Width on Critical Stress,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 727334/1-8, (2009).
  • Lawson, Richard A.; Tolbert, Laren M.; Henderson, Younkin, Todd R.; Clifford L., “Negative Tone Molecular Resists Based on Cationic Polymerization,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 72733E/1-10, (2009).
  • Lawson, Richard A.; Henderson, Clifford L., “Mesoscale Kinetic Monte Carlo Simulations of Molecular Resists: The Effect of PAG Homogeneity on Resolution, LER, and Sensitivity,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 727341/1-10, (2009).
  • Lawson, Richard A.; Noga, David E.; Tolbert, Laren M.; Henderson, Clifford L., “Non-ionic PAG Behavior Under High Energy Exposure Sources,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 72731R/1-9, (2009).
  • Sundaramoorthi, Annapoorani; Younkin, Todd R.; Henderson, Clifford L., “Elucidating the Physiochemical and Lithographic Behavior of Ultra-thin Photoresist Films,” Proceedings of SPIE, 7273 (Advances in Resist Materials and Processing Technology XXVI), pp. 72733V/1-10, (2009).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Yueh, Wang; Tolbert, Laren; Henderson, Clifford L.. “Single molecule chemically amplified resists based on ionic and non-ionic PAGs,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 69230K/1-69230K/10, (2008).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Yueh, Wang; Tolbert, Laren; Henderson, Clifford L.. “Mesoscale simulation of molecular glass photoresists: effect of PAG loading and acid diffusion coefficient,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 69230Q/1-69230Q/10, (2008).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Yueh, Wang; Tolbert, Laren; Henderson, Clifford L.. “Water-developable negative-tone single-molecule resists: high-sensitivity nonchemically amplified resists,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 69231I/1-69231I/8, (2008).
  • Lee, Cheng-Tsung; Yueh, Wang; Roberts, Jeanette M.; Younkin, Todd R.; Henderson, Clifford L.. “A new technique for studying photo-acid generator chemistry and physics in polymer films using on-wafer ellipsometry and acid-sensitive dyes,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 692316/1-692316/8, (2008).
  • Wang, Mingxing; Lee, Cheng-Tsung; Henderson, Clifford L.; Yueh, Wang; Roberts, Jeanette M.; Gonsalves, Kenneth E. “Synthesis and properties of new anionic photoacid generators bound polymer resists for e-beam and EUV lithography,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 692312/1-692312/7, (2008).
  • Lee, Cheng-Tsung; Wang, Mingxing; Gonsalves, Kenneth E.; Yueh, Wang; Roberts, Jeanette M.; Younkin, Todd R.; Henderson, Clifford L.. “Effect of PAG and matrix structure on PAG acid generation behavior under UV and high-energy radiation exposure,” Proceedings of SPIE, 6923(Pt. 1, Advances in Resist Materials and Processing Technology XXV), 69232F-69232F-8, (2008).
  • Lawson, Richard A.; Lee, Cheng-Tsung; Whetsell, Robert; Yueh, Wang; Roberts, Jeanette; Tolbert, Laren; Henderson, Clifford L.. “Molecular glass photoresists containing photoacid generator functionality: A route to a single-molecule photoresist,” Proceedings of SPIE-The International Society for Optical Engineering, 6519(Pt. 1, Advances in Resist Materials and Processing Technology XXIV), pp. 65191N/1-65191N/10, (2007).
  • Romeo, Michael; Yamanaka, Kazuhiro; Maeda, Kazuhiko; Henderson, Clifford L.. “Novel photodefinable low-k dielectric polymers based on polybenzoxazines,” Proceedings of SPIE-The International Society for Optical Engineering, 6519(Pt. 1, Advances in Resist Materials and Processing Technology XXIV), pp. 65191K/1-65191K/7, (2007).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “A novel top surface imaging approach utilizing direct-area selective atomic layer deposition of hardmasks,” Proceedings of SPIE-The International Society for Optical Engineering, 6519(Pt. 1, Advances in Resist Materials and Processing Technology XXIV), pp. 65191J/1-65191J/10, (2007).
  • Wang, Mingxing; Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Yueh; Roberts, Jeanette M.; Gonsalves, Kenneth E. “Novel anionic photoacid generator (PAGs) and photoresist for sub-50-nm patterning by EUVL and EBL,” Proceedings of SPIE-The International Society for Optical Engineering, 6519(Pt. 1, Advances in Resist Materials and Processing Technology XXIV), pp. 65191F/1-65191F/6, (2007).
  • Lee, Cheng-Tsung; Wang, Mingxing; Jarnagin, Nathan D.; Gonsalves, Kenneth E.; Roberts, Jeanette M.; Wang, Yueh; Henderson, Clifford L.. “Photosensitivity and line-edge roughness of novel polymer-bound PAG photoresists,” Proceedings of SPIE-The International Society for Optical Engineering, 6519(Pt. 1, Advances in Resist Materials and Processing Technology XXIV), pp. 65191E/1-65191E/9, (2007).
  • Hua, Yueming; Saxena, Shubham; Lee, Jung C.; King, William P.; Henderson, Clifford L.. “Direct three-dimensional nanoscale thermal lithography at high speeds using heated atomic-force microscope cantilevers,” Proceedings of SPIE-The International Society for Optical Engineering, 6517(Pt. 1, Emerging Lithographic Technologies XI), pp. 65171L/1-65171L/6, (2007).
  • Wang, Mingxing; Lee, Cheng-Tsung; Henderson, Clifford L.; Wang, Yueh; Roberts, Jeanette M.; Gansalves, Kenneth E. “Novel Anionic Photoacid Generators (PAGs) and Photoresists for sub 50 nm Patterning by EUVL and EBL,” Materials Research Society Symposium Proceedings, 961E(Nanostructured and Patterned Materials for Information Storage), Paper #: 0961-O11-04, (2007).
  • Yamanaka, Kazuhiro; Romeo, Michael; Maeda, Kazuhiko; Henderson, Clifford L.. “Novel low-dielectric constant photodefinable polyimides for low-temperature polymer processing,” Proceedings of SPIE-The International Society for Optical Engineering, 6153(Pt. 1, Advances in Resist Technology and Processing XXIII), pp. 61531H/1-61531H/11, (2006).
  • Hua, Yueming; Saxena, Shubham; King, William P.; Henderson, Clifford L.. “Nanolithography in thermally sacrificial polymers using nanoscale thermal probes,” Proceedings of SPIE-The International Society for Optical Engineering, 6153(Pt. 1, Advances in Resist Technology and Processing XXIII), pp. 61531G/1-61531G/7, (2006).
  • Lee, Cheng-Tsung; Jarnagin, Nathan D.; Wang, Mingxing; Gonsalves, Kenneth E.; Robert, Jeanette M.; Wang, Yueh; Henderson, Clifford L.. “Fundamental studies of the properties of photoresists based on resins containing polymer-bound photoacid generators,” Proceedings of SPIE-The International Society for Optical Engineering, 6153(Pt. 2, Advances in Resist Technology and Processing XXIII), pp. 61532E/1-61532E/11, (2006).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “Lithography via top surface imaging using area selective atomic layer deposition,” AIChE Annual Meeting, Conference Proceedings, San Francisco, CA, United States, Nov. 12-17, 2006, pp. 685g/1-685g/2, (2006).
  • King, William P.; Henderson, Clifford L.; Nelson, Brent A.; Saxena, Shubham; Hua, Yueming; Laracuente, Arnaldo; Sheehan, Paul A.; Whitman, Lloyd; Yang, Minchul. “Nanoelectronics writing using heated probe tips,” PMSE Preprints, 94, pp. 869, (2006).
  • Callander, Derrick; Singh, Lovejeet; Hoskins, Trevor; Chung, Won Jae; Henderson, Clifford; Ludovice, Peter. “Elucidation of structure-property relationships in polymers for microelectronics using computer simulation,” PMSE Preprints, 94, pp. 866-868, (2006).
  • Hua, Yueming; Henderson, Clifford L.. “Photodefinable thermally sacrificial polymers and processes for microelectronics and MEMS fabrication,” PMSE Preprints, 94, pp. 825-826, (2006).
  • Sinha, Ashwini; Jeyakumar, Augustin; Hess, Dennis W.; Henderson, Clifford L.. “New fabrication methods for forming patterned metal oxide micro- and nanostructures,” Proceedings of the World Congress of Chemical Engineering, 7th, Glasgow, United Kingdom, July 10-14, 2005, pp. 86471/1-86471/10, (2005).
  • Comeau, Benita M.; Umar, Yusif; Gonsalves, Kenneth E.; Henderson, Clifford L.. “New materials and methods for hierarchically structured tissue scaffolds,” Proceedings of the World Congress of Chemical Engineering, 7th, Glasgow, United Kingdom, July 10-14, 2005, pp. 86457/1-86457/10, (2005).
  • Berger, Cody; Henderson, Clifford L.. “Effect of film composition on the performance of interdigitated electrode methods used for chemically amplified photoresist characterization: methods for analyzing photoresist materials containing base quencher,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 2, Advances in Resist Technology and Processing XXII), pp. 1076-1087, (2005).
  • Singh, Lovejeet; Henderson, Clifford L.; Ludovice, Peter J. “Characterization of property variation in ultra-thin polymer films from molecular simulation,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 2, Advances in Resist Technology and Processing XXII), pp. 1202-1211, (2005).
  • Singh, Lovejeet; Ludovice, Peter J.; Henderson, Clifford L.. “The effect of film thickness on the dissolution rate and hydrogen bonding behavior of photoresist polymer thin films,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 1, Advances in Resist Technology and Processing XXII), pp. 319-328, (2005).
  • Gonsalves, Kenneth E.; Thiyagarajan, Muthiah; Dean, Kim; Santiago, Patricia; Rendon, L.; Jeyakumar, Augustin; Henderson, Clifford L.. “Material design and evaluation of nanocomposite resist for next generation lithography,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 1, Advances in Resist Technology and Processing XXII), pp. 467-475, (2005).
  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.. “Area selective atomic layer deposition: use of lithographically defined polymer masking layers for the deposition of titanium dioxide,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 1, Advances in Resist Technology and Processing XXII), pp. 476-486, (2005).
  • Hoskins, Trevor; Roman, Paul J.; Ludovice, Peter J.; Henderson, Clifford L.. “Equilibrium water uptake and diffusion behavior in model polynorbornene photoresist polymers,” Proceedings of SPIE-The International Society for Optical Engineering, 5753(Pt. 2, Advances in Resist Technology and Processing XXII), pp. 851-861, (2005).
  • Romeo, Michael; Finger, Isaac; Jeyakumar, Augustin; Wang, Guoan; Papapolymerou, John; Henderson, Clifford L.. “Photodefinable metal oxide dielectrics II: Direct fabrication of patterned high-k dielectrics for low cost RF capacitive MEMS switches,” Materials Research Society Symposium Proceedings, 833(Materials, Integration and Packaging Issues for High-Frequency Devices II), pp. 217-222, (2005).
  • Comeau, Benita M.; Umar, Yusif; Gonsalves, Kenneth E.; Henderson, Clifford L.. “New materials and methods for hierarchically structured tissue scaffolds,” Materials Research Society Symposium Proceedings, 845(Nanoscale Materials Science in Biology and Medicine), pp. 105-110, (2005).
  • Thomas, Mikkel A.; Lillie, Jeffrey; Kim, Dae-Ik; Ralph, Stephen; Jokerst, Nan Marie; Brooke, Martin; Dennis, Karla; Comeau, Benita; Henderson, Clifford L.. “An interferometric sensor for integration with Si CMOS signal processing circuitry: "Sensor on a Chip".,” Trends in Optics and Photonics, 96/A(Conference on Lasers and Electro-Optics, 2004), pp. CTuG6/1-CTuG6/2, (2004).
  • Tang, Yanyan; Henderson, Clifford L.; Muzzy, John; Rosen, David W. “Stereolithography cure process modeling using acrylate resin,” Solid Freeform Fabrication Symposium Proceedings, pp. 612-623, (2004).
  • Berger, Cody M.; Henderson, Clifford L.. “Chemically amplified photoresist characterization using interdigitated electrodes: an improved method for determining the Dill C parameter,” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 2, Advances in Resist Technology and Processing XXI), pp. 995-1006, (2004).
  • White, Celesta E.; Henderson, Clifford L.. “Photosensitive co-polycarbonates for use as sacrificial materials in the fabrication of microfluidic and microelectromechanical devices,” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 2, Advances in Resist Technology and Processing XXI), pp. 850-860, (2004).
  • Hoskins, Trevor; Berger, Cody M.; Ludovice, Peter J.; Henderson, Clifford L.; Seger, Larry D.; Chang, Chun; Rhodes, Larry F. “Effect of photoacid generator additives on the dissolution behavior of bis-trifluoromethyl carbinol substituted polynorbornene,” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 2, Advances in Resist Technology and Processing XXI), pp. 1053-1063, (2004).
  • Singh, Lovejeet; Ludovice, Peter J.; Henderson, Clifford L.. “Effect of film thickness on the dissolution rate behavior of photoresist polymer thin films,” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 2, Advances in Resist Technology and Processing XXI), pp. 1007-1016, (2004).
  • White, Celesta E.; Anderson, Travis; Henderson, Clifford L.; Rowland, Harry D.; King, William P. “Microsystems manufacturing via embossing of photodefinable thermally sacrificial materials,” Proceedings of SPIE-The International Society for Optical Engineering, 5374(Pt. 1, Emerging Lithographic Technologies VIII), pp. 361-370, (2004).
  • Jeyakumar, Augustin; Henderson, Clifford L.. “Enhancing the electron beam sensitivity of hydrogen silsesquioxane (HSQ),” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 1, Advances in Resist Technology and Processing XXI), pp. 490-501, (2004).
  • Singh, Lovejeet; Ludovice, Peter J.; Henderson, Clifford L.. “Effect of nanoscale confinement on the diffusion behavior of photoresist polymer thin films,” Proceedings of SPIE-The International Society for Optical Engineering, 5376(Pt. 1, Advances in Resist Technology and Processing XXI), pp. 369-378, (2004).
  • Henderson, Clifford L.; Singh, Lovejeet; Ludovice, Peter J. “The effect of thin film confinement on the physiochemical properties of polymer ultra-thin films: diffusion behavior,” Polymeric Materials Science and Engineering, 90, pp. 350-351, (2004).
  • Henderson, Clifford L.; Singh, Lovejeet; Ludovice, Peter J. “Diffusion behavior of polymer ultrathin films: Implications for future photoresist materials,” Abstracts of Papers, 227th ACS National Meeting, Anaheim, CA, United States, March 28-April 1, 2004, PMSE-214, (2004).
  • Tang, Y.; Henderson, C.L.; Muzzy, J.; Rosen, D.W. “Stereolithography Cure Modeling and Simulation,” Proceedings of VR@P 2003-International Conference on Advanced Research in Virtual and Rapid Prototyping, (2004).
  • Berger, C.; Henderson, C.L. “Measurement of photoacid generation kinetics in photoresist thin films via capacitance techniques,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 322-333, (2003).
  • Ali, M.A.; Gonsalves, K.E.; Agrawal, A.; Jeyakumar, A.; Henderson, C.L. “Nanocomposite resist for low voltage electron beam lithography (LVEBL),” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 442-452, (2003).
  • Jeyakumar, A.; Henderson, C.L. “Hybrid bilayer imaging approach using single component metal-organic precursors for high resolution electron beam lithography,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 502-512, (2003).
  • Hoskins, T.; Chung, W.J.; Ludovice, P.J.; Henderson, C.L.; Seger, L.D.; Rhodes, L.F.; Shick, R.A. “Dissolution behavior of bis-trifluoromethyl carbinol substituted polynorbornenes,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 600-611, (2003).
  • Berger, C.M.; Henderson, C.L. “Equilibrium sorption and rate of diffusion of water into photoresist thin films,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 984-995, (2003).
  • Singh, L.; Ludovice, P.J.; Henderson, C.L. “Influence of film thickness, molecular weight, and substrate on the physical properties of photoresist polymer thin films,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 1008-1018, (2003).
  • Agrawal, A.; Henderson, C.L. “Investigation of surface inhibition and its effect on the lithographic performance of polysulfone-novolac electron beam resists,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 1019-1030, (2003).
  • Jeyakumar, A.; Henderson, C.L. “A comparative study between organic and inorganic resists in electron beam lithography using monte carlo simulations,” Proceedings of SPIE-The International Society for Optical Engineering, 5039(Pt. 2, Advances in Resist Technology and Processing XX), pp. 1192-1203, (2003).
  • Chen, X.; Ruhe, J.; Tolbert, L.M.; Hess, D.W.; Henderson, C.L. “Highly aromatic polymer brushes: Toward molecular masks,” Polymer Preprints (American Chemical Society, Division of Polymer Chemistry), 44(1), 454, (2003).
  • Agrawal, A.; Henderson, C.L. “Polysulfone-novolac resist for electron beam lithography: part I. fundamental studies of resist properties,” Proceedings of SPIE-The International Society for Optical Engineering, 4690(Pt. 2, Advances in Resist Technology and Processing XIX), pp. 1138-1149, (2002).
  • Jeyakumar, A.; Barstow, S.J.; Henderson, C.L. “Novel bilayer resist approach using radiation sensitive organometalics precursors,” Proceedings of SPIE-The International Society for Optical Engineering, 4690(Pt. 2, Advances in Resist Technology and Processing XIX), pp. 1034-1042, (2002).
  • McCoy, K.; Gumieny, C.; Hess, D.W.; Tolbert, L.M.; Henderson, C.L. “Novel surface imaging method using surface monolayer initiated polymerization,” Proceedings of SPIE-The International Society for Optical Engineering, 4690(Pt. 2, Advances in Resist Technology and Processing XIX), pp. 1025-1033, (2002).
  • Agrawal, A.; Henderson, C.L. “Polysulfone-novolac resist for electron-beam lithography: II. effects of resist formulation and processing,” Proceedings of SPIE-The International Society for Optical Engineering, 4690(Pt. 1, Advances in Resist Technology and Processing XIX), pp. 453-464 (2002).
  • White, C.E.; Henderson, C.L. “Synthesis and characterization of photodefinable polycarbonates for use as sacrificial materials in the fabrication of microfluidic devices,” Proceedings of SPIE-The International Society for Optical Engineering, 4690(Pt. 1, Advances in Resist Technology and Processing XIX), pp. 242-253, (2002).
  • Barstow, S.J.; Jeyakumar, A.; Henderson, C.L. “Direct photopatterning of metal oxide materials using photosensitive organometallic precursor films,” Proceedings of SPIE-The International Society for Optical Engineering, 4688(Pt. 1, Emerging Lithographic Technologies VI), pp. 421-430, (2002).
  • Bhusari, D.; Reed, H.; Wedlake, M.; Allen, S.A.; Henderson, C.L.; Kohl, P.A. “Fabrication of Air-Channel Structures for Microfluidic Applications,” Proceedings of. NSF DMII Conference 2001, (2001).
  • McCoy, K.M.; Hess, D.W.; Tolbert, L.M.; Henderson, C.L. “Top Surface Imaging via Surface Initiated Polymerization,” Proceedings of SRC TECHCON 2000, (2000).
  • Rathsack, B..M.; Tabery, C.E.; Scheer, S.A.; Pochkowski, M.; Philbin, C.; Kalk, F.; Henderson, C.L.; Buck, P.D.; Willson, C.G. “Optical Lithography Simulation and Photoresist Optimization for Photomask Fabrication,” Proceedings of SPIE-The International Society for Optical Engineering, 3678(Advances in Resist Technology and Processing XVI), pp. 1215-26, (1999).
  • Dammel, R.R.; Sagan, J.P.; Kokinda, E.; Eilbeck, N.; Mack, C.A.; Arthur, G.G.; Henderson, C.L.; Scheer, S.A.; Rathsack, B.M.; Willson, C.G. “Improved Simulation of Photoresists Using New Development Models,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 401-416, (1998).
  • Synowicki, R.A.; Hilfiker, J.N.; Dammel, R.R.; Henderson, C.L. “Refractive Index Measurements of Photoresist and Antireflective Coatings with Variable Angle Spectroscopic Ellipsometry,” Proceedings of SPIE-The International Society for Optical Engineering, 3332(Metrology, Inspection, and Process Control for Microlithography XII), pp. 384-390, (1998).
  • Erdmann, A.; Henderson, C. L.; Willson, C. G.; Dammel, R. R. “Some Aspects of Thick Film Resist Performance and Modeling,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 1201-1211, (1998).
  • McAdams, C. L.; Flanagin, L. W.; Henderson, C. L.; Pawloski, A. R.; Tsiartas, P.; Willson, C. G. “Dissolution of Phenolic Polymers in Aqueous Base: Influence of Polymer Structure,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 1171-1179, (1998).
  • Postnikov, S. V.; Somervell, M. H.; Henderson, C. L.; Katz, S.; Willson, C. G. “Top Surface Imaging through Silylation,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 997-1008, (1998).
  • Flanagin, L. W.; McAdams, C. L.; Tsiartas, P. C.; Henderson, C. L.; Hinsberg, W. D.; Willson, C. G. “Probabilistic Model for the Mechanism of Phenolic Polymer Dissolution,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 268-277, (1998).
  • Henderson, C. L., Scheer, S. A., Tsiartas, P. C., Rathsack, B. M., Sagan, J. P., Dammel, R. R., Erdmann, A., Willson, C. G. “Modeling Parameter Extraction for DNQ-Novolac Thick Film Resists,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 256-267, (1998).
  • Erdmann, A.; Henderson, C.L.; Willson, C.G.; Dammel, R.R. “The Influence of Resist Refractive Index Changes on Thick Film Resist Performance and Modeling,” Proceedings of SPIE-The International Society for Optical Engineering, 3333(Advances in Resist Technology and Processing XV), pp. 710-722, (1998).
  • Henderson, C.L.; Tsiartas, P.C.; Flanagin, L.W.; Pancholi, S.N.; Chowdhury, S.A.; Dombrowski, K.D.; Chinwalla, A.N.; Willson, C.G. "Photoresist Characterization for Lithography Simulation Part 4: Processing Effects on Resist Parameters," Proceedings of SPIE-The International Society for Optical Engineering, 3049(Advances in Resist Technology and Processing XIV), pp. 212-223, (1997).
  • Henderson, C.L.; Tsiartas, P.C.; Pancholi, S.N.; Chowdhury, S.A.; Dombrowski, K.D.; Willson, C.G.; Dammel, R.R. "Photoresist Characterization for Lithography Simulation Part 3: Development Parameter Measurements," Proceedings of SPIE-The International Society for Optical Engineering, 3049(Advances in Resist Technology and Processing XIV), pp. 805-815, (1997).
  • Henderson, C.L.; Pancholi, S.N.; Chowdhury, S.A.; Willson, C.G.; Dammel, R.R. "Photoresist Characterization for Lithography Simulation Part 2: Exposure Parameter Measurements," Proceedings of SPIE-The International Society for Optical Engineering, 3049(Advances in Resist Technology and Processing XIV), pp. 816-828, (1997).
  • Henderson, C.L.; Willson, C.G.; Dammel, R.R.; Synowicki, R.A. "Bleaching Induced Changes in the Dispersion Curves of DNQ Resists," Proceedings of SPIE-The International Society for Optical Engineering, 3049(Advances in Resist Technology and Processing XIV), pp. 585-595, (1997).
  • Erdmann, A., Henderson, C.L., Willson, C.G., Henke, W. "Influence of Optical Nonlinearities of the Photoresist on the Photolithographic Process: Applications," Proceedings of SPIE-The International Society for Optical Engineering, 3048(Emerging Lithographic Technologies), pp. 114-124, (1997).
  • Erdmann, A.; Henderson, C.L.; Willson, C.G.; Henke, W. “Influence of Optical Nonlinearities of Photoresists on the Photolithographic Process: Basics,” Proceedings of SPIE-The International Society for Optical Engineering, 3051(Optical Microlithography X), pp. 529-40, (1997).
  • Gardiner, A.B.; Qin, A.; Henderson, C.L.; Pancholi, S.; Koros, W.J.; Willson, C.G. “Diffusivity Measurements in Polymers II: Residual Casting Solvent Measurement by Liquid Scintillation Counting,” Proceedings of SPIE-The International Society for Optical Engineering, 3049(Advances in Resist Technology and Processing XIV), pp. 850-860, (1997).
  • Henderson, C.L.; Tsiartas, P.C.; Simpson, L.L.; Clayton, K.D.; Pawloski, A.R.; Pancholi, S.N.; Willson, C.G. "Factors Affecting the Dissolution Rate of Novolac Resins II: Developer Composition Effects," Proceedings of SPIE-The International Society for Optical Engineering, 2724(Advances in Resist Technology and Processing XIII), pp. 481-90, (1996).
  • Henderson, C.L.; Clayton, K.D.; Willson, C.G. "Modeling the Lithographic Process I: Equipment Design and Modeling Analysis for a Series of Commercial I-Line Resists," Proc. SRC TECHCON 1996, (1996).