Mass-loaded MRFM cantilevers

MASS-LOADED CANTILEVERS WITH SUPPRESSED HIGHER-ORDER MODES FOR

MAGNETIC RESONANCE FORCE MICROSCOPY*

Benjamin W. Chui, Raffi Budakian, H. Jonathon Mamin, and Daniel Rugar

IBM Research Division, Almaden Research Center, Harry Road, San Jose, CA 95120, USA

Yoshikazu Hishinuma, Thomas Kenny

Stanford University, Stanford, CA 94305, USA

ABSTRACT

We describe the design, fabrication and testing of mass-loaded cantilevers for electron-spin magnetic resonance force microscopy. These single-crystal silicon cantilevers are designed to have large gaps in their thermal mode spectra so as to reduce thermal noise near the electron-spin Rabi frequency. Each cantilever typically consists of a 1.5-micron thick mass suspended at the end of a 0.1-micron thick hinge. The fabrication process starts with an SOI wafer followed by selective silicon epitaxy, cantilever patterning, and backside release. The focus of the process is on precise thickness control and material homogeneity.

We will present characterization results for these cantilevers at room and low temperatures and discuss the impact of these devices on magnetic resonance force microscopy measurements.

INTRODUCTION

In magnetic resonance force microscopy (MRFM) [1], an ultra-sensitive cantilever is used to detect magnetic resonance in small ensembles of electron or nuclear spins. The technique requires the detection of an attonewton-scale oscillating magnetic force exerted on a mag­netic tip by the spins in the sample [2, 3]. An RF magnetic field is used to manipulate the spin orientation at a rate that matches the kHz resonance frequency of the lever (see Fig. 1).

Figure 1: One possible configuration for a mag­netic reso­nance force microscopy measurement (MRFM) setup. The magnetized tip of an ultra-sensitive cantilever is brought in close proximity to the surface of a spin sample in the presence of an RF field. Vibration of the cantilever in combination with the RF field causes the spins within the resonant slice to undergo cyclic adiabatic rever­sals. The motion of the spins causes a slight shift in the cantilever’s natural frequency. The coherence of the derived spin signal is affected by, amongst other factors, thermal noise in the cantilever itself.

To extend this technique to single-spin sensitivity, the magnetic tip must generate a very large magnetic gradient (e.g., several gauss per angstrom) in order to create a measurable force. Previous experimental and theoretical studies have shown, however, that sub-angstrom thermal vibration noise in the upper modes of the cantilever, in combination with the large gradient, creates enough magnetic noise to destabilize the spin [4–6].

Figure 2: Schematic of mass-loaded cantilever, in which a large mass is suspended at the end of thin hinge, which is in turn connected to a rigid base.

To overcome this problem, the MHz-frequency thermo-mechanical noise of the cantilever must be suppressed. For detection of electron spins, the frequency range that is most important is in the range of 10 to 20 MHz, corresponding to the Rabi frequency of the spin. In this range, vibration noise of only a few milli-angstroms can be detrimental. We have explored several approaches for suppressing the high-order mode noise [7]. This work focuses on mass-loaded cantilevers in particular (Fig. 2). Finite-element analysis shows these devices to have much lower noise spectral densities at MHz frequencies. This design can be engineered to have large gaps in the mode spectrum (see Fig. 3).

Figure 3: Predicted thermal noise spectra based on finite element analysis for (a) conventional “flat” cantilever, and (b) mass-loaded cantilever. The two models were designed to have similar fundamental frequencies (6 kHz) and spring constants (0.3 mN/m). Note the larger mode gaps and lower mode amplitudes in graph (b) with respect to (a).

DEVICE FABRICATION

Fabricating cantilevers for the present application poses special challenges. Unlike previous “flat” cantilevers [2], the present design calls for a dual-thickness structure, with a very thin (0.1-micron) “hinge” section coupled to a considerably thicker (2-micron) “mass”. To minimize dissipation, the cantilever has to be made of single-crystal silicon, with surfaces that are as clean and defect-free as possible. The thickness of each section needs to be highly uniform as well. To minimize RF-induced and laser-induced self-heating, the silicon material must have very low electrical conductivity, i.e. it must be undoped or very lightly doped. Finally, to reduce clamping losses, the overhang at the base of the cantilever (due to backside misalignment) must be minimized, while the base itself should preferably be thickened and stiffened.

To address these requirements, we have chosen to make the cantilever hinge out of the undoped top silicon layer of a custom-made silicon-on-insulator (SOI) wafer, followed by selective undoped silicon epitaxy to form the mass.

The starting material is an SOI wafer with a 0.1-micron thick top silicon layer. A low-temperature oxide (LTO) layer is deposited and patterned to form a mask. This dark-field mask defines the thin part of the cantilever—the hinge (Fig. 4a). A 1.5-micron thick undoped single-crystal silicon epitaxy is grown. The epitaxy is selective, i.e. it does not grow over oxide. The oxide mask is then removed with HF (Fig. 4b).

Another LTO layer is subsequently deposited and patterned to form a second mask. This mask exposes mainly the base of the cantilever (Fig. 4c). A 3-micron thick single-crystal selective silicon epitaxy is then grown, and the LTO is removed with HF (Fig. 4d). At this point the thickness of the base is effectively 4.5 microns, providing the structural rigidity required for reducing clamping losses in the cantilever. A lithographic step is used to pattern the cantilever and its base, and a silicon plasma etch is used to define the lever (Fig. 4e). Note that this etch has to be very selective against oxide, since the thin regions of the top silicon layer (where there is no epitaxy) etches through early on during the etch and exposes the buried oxide to the plasma.

Finally, backside lithography followed by deep reactive ion etch (DRIE) is used to create through holes in the wafer. An HF etch is used to remove the buried oxide, and the cantilevers are released (Fig. 4f). In order to improve yield, a temporary nitride-LTO protective layer may be deposited on the front side of the wafer before the backside etch, and the nitride removed by plasma etching before the HF release.

In an alternate fabrication approach (not illustrated here), an SOI wafer with a thick top silicon layer is used. The hinge regions are thinned down using nitride-masked local oxidation of silicon much like the VLSI LOCOS process. This is a viable option if silicon epitaxy capability is not available. Possible drawbacks include potentially large variations in the final hinge thickness (corresponding to thickness variations in the initial top silicon layer), and the need for a long, precisely timed thermal oxidation step. Note the gradual transition in thickness between the hinge and the mass (Fig. 6), characteristic of the LOCOS process.

Figure 4: Fabrication process for mass-loaded cantilevers. Thick silicon epitaxy is grown on top of the SOI wafer’s existing thin layer to form the “mass.” The original thin top layer forms the “hinge.”

Figure 5 shows scanning electron micrographs of “long-hinge” cantilevers fabricated using the two approaches. Figure 5 (left) shows a cantilever fabricated with the epitaxy approach, while Figure 5 (right) shows one fabricated with the LOCOS-style approach. In the latter case, note the gradual transition in thickness between the hinge and the mass, which is what is left behind after the overlying oxide layer with its characteristic LOCOS “bird’s beak” profile is removed.

Figure 5: Mass-loaded, long-hinge cantilevers: LEFT: cantilever formed using “additive process” of selective silicon epitaxy (hinge thickness 0.1 um, mass thickness 1.5 um); RIGHT: cantilever formed using “subtractive process” of LOCOS-type thermal oxidation (hinge thickness 0.1 um, mass thickness 1.0 um)

For comparison, Figure 6 shows a “short-hinge” cantilever. While this type of cantilever is expected to have an even sparser spectral density than its long-hinge counterpart, it tended to break easily during normal handling (probably due to high stress concentration at the hinge). We have therefore chosen not to use the short-hinge cantilever at this stage of the experiment.

Figure 6: Short-hinge mass-loaded cantilever.

DEVICE CHARACTERIZATION

For characterization purposes, a long-hinge cantilever was mounted in a setup that includes a fiber-based laser interferometer. The thermal mode spectrum of the cantilever was measured and plotted in Fig. 7. It can be seen that, as expected, there is a large gap between the fundamental mode and the higher modes. Theoretically obtained modal frequencies (calculated through finite element analysis) are seen to be in good agreement with the measured values.

Figure 7: Thermal mode spectrum of long-hinge cantilever measured at 77 K in vacuum. Numbers in parentheses are theoretical values obtained from finite element analysis.

In the experimental configuration used for our MRFM measurements (see Fig. 1), a small magnetic particle is attached to the tip of the cantilever which is placed in close proximity (approximately 0.1 microns) to an electron-spin sample. A modulated RF field is applied to manipulate the electron spins, and the motion of the cantilever resulting from the tip-sample interaction is detected. Because the cantilever has a very low stiffness of approximately 0.3 mN/m, attonewton-scale forces can be detected with the help of a high-performance laser interferometer. At the time of writing, spin signals with a noise floor of less than 10 spins have been obtained. Further details of the MRFM measurement can be found in Ref. [9].

The quality factor Q of a long-hinge cantilever was measured in vacuum as a function of temperature. Results are shown in Figure 8. It can be seen that Q can reach 80000, and that it varies with temperature. In general, at low temperatures the effect of surface contaminants are “frozen out” and their contribution to surface losses decreases, leading to higher Q.

Figure 8: Quality factor Q of a long-hinge mass-loaded cantilever versus temperature, measured in vacuum. The cantilever has a magnetic particle glued to its tip. Value of Q at room temperature is approximately 15000.

This work was supported by the DARPA Mosaic program administered through the Army Research Office. The cantilevers were fabricated at the NSF National Nanofabrication User Network facility at Stanford University.

REFERENCES

[1] “Magnetic resonance force microscopy,” J.A. Sidles, J.L. Garbini, K.J. Bruland, D. Rugar, O. Zuger, S. Hoen, and C.S. Yannoni, Rev. Mod. Phys., 67 (1), pp. 249-65, 1995.

[2] “Attonewton force detection using ultrathin silicon cantilevers,” T.D. Stowe, K. Yasumura, T.W. Kenny, D. Botkin, K. Wago, and D. Rugar, Appl. Phys. Lett., 71 (2), pp. 288-90, 1997.

[3] “Sub-attonewton force detection at milliKelvin tempera­tures,” H.J. Mamin, D. Rugar, Appl. Phys. Lett., 79 (20), pp. 3358-60, 2001.

[4] J.A. Sidles, personal communication.

[5] “Spin relaxation in MRFM experiments,” D. Mozyrsky, I. Martin, D. Pelekhov, and P.C. Hammel, Appl. Phys. Lett. 82, 1278 (2003).

[6] “Spin relaxation caused by thermal excitations of high-frequency modes of cantilever vibrations,” G.P. Berman, V.N. Gorshkov, D. Rugar, and V.I. Tsifrinovich, accepted for publication in Phys. Rev. B.

[7] “Torsional force probes optimized for higher order mode suppression,” T.D. Stowe, K. Yasumura, T. Pfafman, T.W. Kenny, D. Botkin, and D. Rugar, Transducers ’97 Tech. Digest, pp. 141-4.

[8] “Mass-loaded cantilevers with suppressed higher-order modes for magnetic resonance force microscopy,” B.W. Chui, R. Budakian, H.J. Mamin, and D. Rugar, proceedings of Transducers ’03, Boston, June 2003, pp. 1120-3.

[9] “Detection and manipulation of statistical polarization in small spin ensembles,” H.J. Mamin, R. Budakian, B.W. Chui, and D. Rugar, submitted to Phys. Rev. Lett.

-------------------------------------------------------------

*Adapted from similarly named article in Transducers 2003 Technical Digest, pp. 1120-3.

Appendix: 3-D process flow diagram