Papers
これまでに集積回路のトップ国際学会であるISSCC 5報, Symp.VLSIに5報、トップ論文誌であるJournal of Solid-State Circuitsに7報研究を発表し、計50報の論文を発表しており特許も30以上出願・登録しています。分野としてもセキュリティ、画像処理、ロボティクス、医療画像解析のトップ学会で研究を発表しています。
We have published over 10 papers in ISSCC and VLSI, the top international conferences on integrated circuits, and 7 papers in Journal of Solid-State Circuits, the top journal on integrated circuits and over 30 patents on integrated circuits and LiDAR systems.
In addition, we have also presented our research at top conferences in security, image processing, robotics, and medical image analysis.
Journals
Kaoru Yamashita, Benjamin Hershberg, Kentaro Yoshioka*, Hiroki Ishikuro, "A 4.6 K to 400 K Functional Ringamp-Based 250 MS/s 12 b Pipelined ADC with PVT-Robust Unity-Gain-Frequency-Aware Bias Calibration", IEEE Journal of Solid State Circuits, Vol.59, Issue 3, pp.740-752, March 2024.
* Corresponding author.鈴木諒, 吉岡健太郎, 速川湧気,中原龍一, 那須義久,西田圭一郎, 尾崎敏文,"深層学習と民生用3Dセンサ を用いた低コスト高精度肘関節可動域自動計測の試み",中国四国整形外科会誌, Vol.35, No.2, pp. 219-224, 2023.
速川湧気, 吉岡健太郎, 鈴木諒,中原龍一, 那須義久, 西田圭一郎, 尾崎敏文 "完全Web 化された医工連携の試み:関節可動域自動計測システムの開発",中国四国整形外科会誌,Vol.35, No.2, pp.225-230, 2023.
Kentaro Yoshioka, "Towards Reliable LiDARs for Autonomous Driving: A Review of High-Resolution and Security Features", The Review of Laser Engineering, 2023. [paper].
Kentaro Yoshioka, "A Tutorial and Review of Automobile Direct ToF LiDAR SoCs: Evolution of Next-Generation LiDARs", ,IEICE Transactions on Electronics, 2022. [Open Access] 2nd popular paper in the journal.
Woulter Bulden et al, "Artificial intelligence for diagnosis and Gleason grading of prostate cancer: the PANDA challenge", Nature Medicine, Vol.28, pp.154–163, Jan. 2022. [Open Access]
Kentaro Yoshioka, "Time-Based Current Source: A Highly Digital Robust Current Generator for Switched Capacitor Circuits", IEICE Transactions on Electronics, 2022.
Kentaro Yoshioka, "VCO-based Comparator: A Fully Adaptive Noise Scaling Comparator for High-Precision and Low-Power SAR ADCs", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.29, Issue 12, pp.2143-2152, Oct. 2021. Top-10 popular paper in the journal.
Satoshi Kondo, Hiroshi Kubota, Hisaaki Katagiri, Yutaka Ota, Masatoshi Hirono, Tuan Thanh Ta, Hidenori Okuni, Shinichi Ohtsuka, Yoshinari Ojima, Tomohiko Sugimoto, Hirotomo Ishii, Kentaro Yoshioka, Katsuyuki Kimura, Akihide Sai, Nobu Matsumoto, “A 240×192 Pixel 10fps 70klux 225m-Range Automotive LiDAR SoC Using a 40ch 0.0036mm2 Voltage/Time Dual-Data-Converter-Based AFE” IEEE Journal of Solid State Circuits, 2020.
Yosuke Toyama, Kentaro Yoshioka, Koichiro Ban, Shigeru Maya, Akihide Sai, Kohei Onizuka, “An 8 Bit 12.4 TOPS/W Phase-Domain MAC Circuit for Energy-Constrained Deep Learning Accelerators”, IEEE Journal of Solid-State Circuits, Vol. 54, Issue 10, pp.2730-2742, Oct. 2019.
Kentaro Yoshioka, Tomohiko Sugimoto, Naoya Waki, Sinnyoung Kim, Daisuke Kurose, Hirotomo Ishii, Masanori Furuta, Akihide Sai, Hiroki Ishikuro, Tetsuro Itakura, “Digital Amplifier: A Power-Efficient and Process-Scaling Amplifier for Switched Capacitor Circuits”,IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.27, Issue 11, pp.2575-2586, 2019.
Kentaro Yoshioka, et al, “A 20-ch TDC/ADC Hybrid Architecture LiDAR SoC for 24096 Pixel 200-m Range Imaging With Smart Accumulation Technique and Residue Quantizing SAR ADC,” IEEE Journal of Solid-State Circuits, Vol. 53, Issue 11, pp.3026-3038, Nov. 2018.
Shusuke Kawai, Kentaro Yoshioka, et al, “An 802.11 ax 4× 4 spectrum-efficient WLAN AP transceiver SoC supporting 1024QAM with frequency-dependent IQ calibration and integrated interference analyzer”, IEEE Journal of Solid-State Circuits, Vol. 53, Issue 11, pp.442-444, Nov. 2018.
Kentaro Yoshioka, Ryo Saito, Takumi Danjo, Sanroku Tsukamoto, Hiroki Ishikuro, “Dynamic architecture and frequency scaling in 0.8–1.2 GS/s 7 b subranging ADC”, IEEE Journal of Solid-State Circuits, Vol. 50, Issue 4, pp.932-945, Apr. 2015.
Kentaro Yoshioka, Akira Shikata, Ryota Sekimoto, Tadahiro Kuroda, Hiroki Ishikuro ,“An 8 bit 0.3–0.8 V 0.2–40 MS/s 2-bit/step SAR ADC with successively activated threshold configuring comparators in 40 nm CMOS”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 23, Issue 2, pp.356-368, Feb. 2014.
Ryota Sekimoto, Akira Shikata, Kentaro Yoshioka, Tadahiro Kuroda, Hiroki Ishikuro, “A 0.5-V 5.2-fJ/conversion-step full asynchronous SAR ADC with leakage power reduction down to 650 pW by boosted self-power gating in 40-nm CMOS”, IEEE Journal of Solid-State Circuits, Vol. 48, Issue 11, pp.2628-2636, Nov. 2013.
Ryota Sekimoto, Akira Shikata, Kentaro Yoshioka, Tadahiro Kuroda, Hiroki Ishikuro , “An adaptive DAC settling waiting time optimized ultra low voltage asynchronous SAR ADC in 40 nm CMOS”, IEICE transactions on electronics, Vol.96, Issue 6, pp.820-827, June, 2013.
Akira Shikata, Ryota Sekimoto, Kentaro Yoshioka, Tadahiro Kuroda, Hiroki Ishikuro, “A 4–10 bit, 0.4–1 V Power Supply, Power Scalable Asynchronous SAR-ADC in 40 nm-CMOS with Wide Supply Voltage Range SAR Controller”, IEICE transactions on electronics, Vol.96, Issue 2, pp.820-827, Feb, 2013.
International conference papers
S. Ando, S. Miyagi, W. Zhang, Y.C. Chen, K. Yoshioka, "A Saliency-Aware Analog Computing-In-Memory Macro with SAR-Embedded Saliency Detection Technique", SSDM 2024.
W. Zhang, S. Ando, Y.C. Chen, S. Miyagi, S. Takamaeda-Yamazaki, K. Yoshioka, "PACiM: A Sparsity-Centric Hybrid Compute-in-Memory Architecture via Probabilistic Approximation", IEEE ICCAD 2024. (Acc. rate 24%)
O. Sako, T. Sato, Y.Hayakawa, R.Suzuki, K. Ikeda, R. Nagata, Q. Chen, K.Yoshioka, "Poster: Intensity-Aware Chosen Pattern Injection LiDAR Spoofing Attack", NDSS, Poster Session, 2024.
T. Sato, R. Suzuki, Y. Hayakawa, K. Ikeda, O. Sako, R. Nagata, Q. Chen, K. Yoshioka, "Demo: Towards Practical LiDAR Spoofing Attack against Vehicles Driving at Cruising Speeds", Vehicle Sec, 2024.
R.Suzuki, T. Sato, Y.Hayakawa, K. Ikeda, O. Sako, R. Nagata, Q. Chen, K.Yoshioka, "WIP: Towards Practical LiDAR Spoofing Attack against Vehicles Driving at Cruising Speeds", Vehicle Sec, 2024. (Acc. rate 44%)
Y.Hayakawa, T. Sato, R.Suzuki, K. Ikeda, O. Sako, R. Nagata, Q. Chen, K.Yoshioka, "WIP: An Adaptive High Frequency Removal Attack to Bypass Pulse Fingerprinting in New-Gen LiDARs", Vehicle Sec, 2024. (Acc. rate 44%)
Y.C. Chen, S. Ando, D. Fujiki, S. Takamaeda-Yamazaki, K. Yoshioka, "HALO-CAT: A Hidden Network Processor with Activation-LOcalized CIM Architecture and Layer-Penetrative Tiling", [arXiV].
K. Yoshioka, "A 818-4094 TOPS/W Capacitor-Reconfigured CIM Macro for Unified Acceleration of CNNs and Transformers", ISSCC, 2024. (Accepted) (Acc. rate 26%)
Y.C. Chen, S. Ando, D. Fujiki, S. Takamaeda-Yamazaki, K. Yoshioka, "OSA-HCIM: On-The-Fly Saliency-Aware Hybrid SRAM CIM with Dynamic Precision Configuration", IEEE ASP-DAC 2024. [arXiv] (Acc. rate 29%)
T. Sato*, Y.Hayakawa*, R.Suzuki*, Y.Shiiki*, K.Yoshioka, Q. Chen, "LiDAR Spoofing Meets the New-Gen: Capability Improvements, Broken Assumptions, and New Attack Strategies", Network and Distributed System Security Symposium (NDSS), 2024. * indicate co-first authors. (Acc. rate 15%) [Project] [Paper]
T. Sato*, Y.Hayakawa*, R.Suzuki*, Y.Shiiki*, K.Yoshioka, Q. Chen, "WIP: Practical Removal Attacks on LiDAR-based Object Detection in Autonomous Driving", Vehicle Sec, 2023. * indicate co-first authors. ETAS Best Short/WIP Paper Award Runner-up
T. Sato*, Y.Hayakawa*, R.Suzuki*, Y.Shiiki*, K.Yoshioka, Q. Chen, "Poster: Practical Removal Attacks on LiDAR-based Object Detection in Autonomous Driving", NDSS, Poster Session, 2023. * indicate co-first authors.
K. Yamashita, B. Hershberg, K. Yoshioka, H. Ishikuro, "A 4.6K to 400K Functional PVT-Robust Ringamp-Based 250MS/s 12b Pipelined ADC with Pole-Aware Bias Calibration," IEEE CICC, 2023. Outstanding Student Paper Award
T. Sato*, Y.Hayakawa*, R.Suzuki*, Y.Shiiki*, K.Yoshioka, Q. Chen, "Towards Large-Scale Measurement Study on LiDAR Spoofing Attacks against Object Detection", ACM CCS, Poster Session, 2022. * indicate co-first authors.
K. Kartasalo et al, "Gleason grading of prostate cancer using artificial intelligence: lessons learned from the PANDA challenge", MIDL Short Papers, 2022.
K. Yoshioka, H Okuni, TT Ta, A Sai, "Through the Looking Glass: Diminishing Occlusions in Robot Vision Systems with Mirror Reflections", IEEE IROS, Oct. 2021. [Youtube] [arXiv]
Y. Fujimoto, K. Yoshioka, "Gleason grading of biopsies with simple label noise reduction technique", MICCAI Workshop, Prostate cANcer graDe Assessment (PANDA) Challenge, Oct. 2020. [Website]
Tuan Thanh Ta, Hiroshi Kubota, Koichi Kokubun, Toshiki Sugimoto, Masatoshi Hirono, Mitsuhiro Sengoku, Hisaaki Katagiri, Hidenori Okuni, Satoshi Kondo, Shinichi Ohtsuka, Honam Kwon, Keita Sasaki, Yutaka Ota, Kazuhiro Suzuki, Katsuyuki Kimura, Kentaro Yoshioka, Akihide Sai, Nobu Matsumoto, "A 2D-SPAD Array and Read-Out AFE for Next-Generation Solid-State LiDAR", IEEE Symposium on VLSI Circuits, 2020.
Satoshi Kondo, Hiroshi Kubota, Hisaaki Katagiri, Yutaka Ota, Masatoshi Hirono, Tuan Thanh Ta, Hidenori Okuni, Shinichi Ohtsuka, Yoshinari Ojima, Tomohiko Sugimoto, Hirotomo Ishii, Kentaro Yoshioka, Katsuyuki Kimura, Akihide Sai, Nobu Matsumoto, “A 240×192 Pixel 10fps 70klux 225m-Range Automotive LiDAR SoC Using a 40ch 0.0036mm2 Voltage/Time Dual-Data-Converter-Based AFE” ISSCC, pp. 94-96, Feb, 2020. (Acc. rate 32%)
Kentaro Yoshioka, Edward Lee, Simon Wong, Mark Horowitz, “Dataset Culling: Towards Efficient Training Of Distillation-Based Domain Specific Models”, IEEE International Conference on Image Processing (ICIP), pp.3237-3241, Sept. 2019. [arXiv] [github]
Yosuke Toyama, Kentaro Yoshioka, K Ban, A Sai, K Onizuka, “A 12.4 TOPS/W, 20% less gate count bidirectional phase domain MAC circuit for DNN inference applications”, IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2018.
Akihide Sai, Kentaro Yoshioka, Hiroshi Kubota, Satoshi Kondo, Tuan Thanh Ta, Hidenori Okuni, Katsuyuki Kimura, Yutaka Oota, Tomohiro Sugimoto, Daisuke Kurose, Hirotomo Ishii, Nobu Matsumoto, "A Long-Range High-Resolution Distance Measurement SoC for Self-Driving LiDAR Systems", IEICE Technical Report, 2018.
Akihide Sai, Kentaro Yoshioka, Hiroshi Kubota, Satoshi Kondo, Tuan Thanh Ta, Hidenori Okuni, Katsuyuki Kimura, Yutaka Oota, Tomohiro Sugimoto, Daisuke Kurose, Hirotomo Ishii, Nobu Matsumoto, "Advanced LiDAR SoC for Automobile Range-Imaging", International Conference on Solid State Devices and Materials (SSDM), 2018.
Kentaro Yoshioka, Y Toyama, K Ban, D Yashima, S Maya, A Sai, K Onizuka, “PhaseMAC: A 14 TOPS/W 8bit GRO based phase domain MAC circuit for in-sensor-computed deep learning accelerators”, IEEE Symposium on VLSI Circuits, pp.263-264, June 2018. (Acc. rate 29%)
Kentaro Yoshioka, et al, “A 20ch TDC/ADC hybrid SoC for 240× 96-pixel 10%-reflection< 0.125%-precision 200m-range imaging LiDAR with smart accumulation technique”, ISSCC, pp.3026-3038, Feb. 2018. (Acc. rate 33%)
Shusuke Kawai, Kentaro Yoshioka, et al, “An 802.11 ax 4× 4 spectrum-efficient WLAN AP transceiver SoC supporting 1024QAM with frequency-dependent IQ calibration and integrated interference analyzer”, ISSCC, pp.442-444, Feb. 2018. (Acc. rate 33%)
Kentaro Yoshioka, Tomohiko Sugimoto, Naoya Waki, Sinnyoung Kim, Daisuke Kurose, Hirotomo Ishii, Masanori Furuta, Akihide Sai, Tetsuro Itakura, “A 0.7 V 12b 160MS/s 12.8 fJ/conv-step pipelined-SAR ADC in 28nm CMOS with digital amplifier technique”, ISSCC, pp.478-479, Feb. 2017. (Acc. rate 32%)
Kentaro Yoshioka, R Saito, T Danjo, S Tsukamoto, H Ishikuro, “7-bit 0.8–1.2 GS/s dynamic architecture and frequency scaling subrange ADC with binary-search/flash live configuring technique”, IEEE Symposium on VLSI Circuits, pp.932-945, June 2014. (Acc. rate 22%)
Kentaro Yoshioka, Hiroki Ishikuro, “A 13b SAR ADC with eye-opening VCO based comparator”, 40th European Solid State Circuits Conference (ESSCIRC), pp.411-414, 2014.
Kentaro Yoshioka, A Shikata, R Sekimoto, T Kuroda, H Ishikuro, “An 8b extremely area efficient threshold configuring SAR ADC with source voltage shifting technique”, 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.31-32, 2014.
Kentaro Yoshioka, A Shikata, R Sekimoto, T Kuroda, H Ishikuro, “A 0.0058mm2 7.0 ENOB 24MS/s 17fJ/conv. threshold configuring SAR ADC with source voltage shifting and interpolation technique” , IEEE Symposium on VLSI Circuits, pp.266-267, June 2013. (Acc. rate 27%)
M Nomura, A Muramatsu, H Takeno, S Hattori, D Ogawa, M Nasu, K Hirairi, S Kumashiro, S Moriwaki, Y Yamamoto, S Miyano, Y Hiraku, I Hayashi, K Yoshioka, A Shikata, Hiroki Ishikuro, M Ahn, Y Okuma, X Zhang, Y Ryu, K Ishida, M Takamiya, Tadahiro Kuroda, H Shinohara, T Sakurai, “0.5 V image processor with 563 GOPS/W SIMD and 32bit CPU using high voltage clock distribution (HVCD) and adaptive frequency scaling (AFS) with 40nm CMOS”, IEEE Symposium on VLSI Circuits, pp.36-37, June 2013. (Acc. rate 27%)
Kentaro Yoshioka, A Shikata, R Sekimoto, T Kuroda, H Ishikuro, “A 0.35-0.8 V 8b 0.5-35MS/s 2bit/step extremely-low power SAR ADC”, 18th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.31-32, 2013. Special Feature Award
Kentaro Yoshioka, Yosuke Toyama, Teruo Jyo, Hiroki Ishikuro, “A voltage scaling 0.25–1.8 V delta-sigma modulator with inverter-opamp self-configuring amplifier”, IEEE International Symposium on Circuits and Systems (ISCAS), pp.809-812, 2013.
R Sekimoto, A Shikata, K Yoshioka, T Kuroda, H Ishikuro, "A 40nm CMOS full asynchronous nano-watt SAR ADC with 98% leakage power reduction by boosted self power gating", IEEE ASSCC, 2012. Best Design Award
Kentaro Yoshioka, A Shikata, R Sekimoto, T Kuroda, H Ishikuro, “An 8bit 0.35–0.8 V 0.5–30MS/s 2bit/step SAR ADC with wide range threshold configuring comparator”, Proceedings of the ESSCIRC (ESSCIRC), pp.381-384, 2012.
Domestic Conferences
鈴木諒, 吉岡健太郎, 速川湧気,中原龍一, 那須義久,西田圭一郎, 尾崎敏文,"AI を用いた関節可動域自動計測の試み",中国四国整形外科学会、2022.
速川湧気, 吉岡健太郎, 鈴木諒,中原龍一, 那須義久, 西田圭一郎, 尾崎敏文 "完全Web 化された医工連携の試み:関節可動域自動計測システムの開発",中国四国整形外科学会、2022.
田中 郁弥, ”エッジデバイスにおける効率的な機械学習について", D3-AIワークショップ, 2022.
安藤 慎平, "AIアクセラレータをアナログの視点から", D3-AIワークショップ, 2022.
鈴木 諒、佐藤 貴海、速川 湧気、椎木 陽介、Chen, Qi Alfred、吉岡 健太郎, "LiDARセンサに対する任意形状センサ幻惑攻撃の実証と防御策の検討", SSII 2023.
速川 湧気、佐藤 貴海、鈴木 諒、椎木 陽介、Chen, Qi Alfred、吉岡 健太郎, "次世代LiDARセンサへの高周波パルス照射による消失型センサ幻惑攻撃の提示と実証", SSII 2023.
宮城 賢美, 安藤 慎平, 張 文倫, 吉岡 健太郎 "Bit-parallel型Computing In-Memory processorのための電流時間積分型ドライバ", LSIワークショップ2024.
安藤 慎平, 宮城 賢美, 張 文倫, 吉岡 健太郎 "Saliency-aware Fully-Analog Computing In-Memory型低消費電力AIアクセラレータ", LSIワークショップ2024.
佐古 大空, 佐藤 貴海、鈴木 諒、速川 湧気、池田 和真、永田 禄人、Chen, Qi Alfred、吉岡 健太郎, "LiDARセンサに対する強度偽装型任意形状センサ幻惑攻撃" SSII 2024 (Spotlight).
池田 和真、鈴木 諒、速川 勇気、永田 禄人、佐古 大空、吉岡 健太郎, "LiDARセンサ幻惑攻撃を用いた新たなインフラセンシングシステムの提案" SSII 2024 (Spotlight).
永田 禄人、佐藤 貴海、鈴木 諒、速川 湧気、池田 和真、佐古 大空、Chen, Qi Alfred、吉岡 健太郎, "LiDAR ベース自己位置推定に対する relay 型センサ幻惑攻撃の評価" SSII 2024.
波多野 将大、田中 郁弥、吉岡 健太郎、"長時間ビデオ分析における精度悪化を克服するための動的再学習アプローチの探求" SSII 2024.
Media coverage
Tech Xplore, Autonomous vehicle technology vulnerable to road object spoofing and vanishing attacks, 2024/03.
日経クロステック, 自動運転用LiDARに脆弱性、慶応大らがHFR攻撃で物体消失を確認, 2024/02.
EE-times, アナログCIM回路でCNNとTransformerの処理を実現, 2024/02.
Google AI Blog, An International Scientific Challenge for the Diagnosis and Gleason Grading of Prostate Cancer
Tech Xplore, A robot vision system that diminishes occlusions using mirror reflections
テレビ朝日 ニュースステーション, ミリ波による危険物検知技術
IEEE Spectrum, Toshiba’s Light Sensor Paves the Way for Cheap Lidar
日経エレクトロニクス, 東芝が200m超測れるLiDAR用SoC、画素数2倍で分解能アップ
日経エレクトロニクス, 東芝がLiDARに本気、真夏の太陽下で200mを誤差0.125%の計測可能なSoC
Mono-ist, 積和演算処理の消費電力を8分の1に削減したアナログAIアクセラレータチップ
EE-times, 東芝がISSCCで発表:最高レベルの電力効率を実現するA-D変換器を開発
Invited talks (2021-)
International Conferences
K. Yoshioka, "Analog to the Rescue? Analog Deep Learning Accelerator Aspects and Challenges ", IEEE ASSCC 2021, RiSE(Rising Star Express) Forum [Slides]
K. Yoshioka, "Diversity and Productivity: Insights from Industry and Academia", IEEE Symp. VLSI 2023, Diversity Luncheon,.
K.Yoshioka, "Towards Efficient and Precise Analog Compute-in-Memory Circuits", JJAP SSDM, 2024. [Web]
Domestic Conferences
吉岡, "Kaggle PANDA Challengeについて", 岡山メディカルAI・ICT研究会 10/2021.
吉岡, "信頼できるLiDARに向けて", 情報処理学会IPSJ連続セミナー 9/2022 [Slides]
吉岡, "アナログが世界を救う? アナログコンピューティングの応用と課題", 最適輸送ワークショップ, 3/2023 [Slides] [Web]
吉岡, "自動運転用LiDARのセキュリティ研究", ITS情報通信システム推進会議 11/2023.
吉岡, "自動運転用LiDARセキュリティ最前線", HWS(ハードウェアセキュリティ)フォーラム, 12/2023. [Slides] [Web]
吉岡, "A 818-4094 TOPS/W Capacitor-Reconfigured CIM Macro for Unified Acceleration of CNNs and Transformers", ISSCC国内報告会, 3/2024. [Web]
吉岡, "信頼性の高いLiDARに向けて", 電気学会調査会, 3/2024.
吉岡, "高精度、高効率アナログCompute-in-Memory回路に向けて", 集積回路研究会, 4/2024. [Slides] [Web]
吉岡, "LLM時代の半導体・集積回路", 岡山大, 6/2024.
Closed talks
Sony, Tier-IV, etc..
Technical Committees, Reviews
International Conferences
[1] 2021- IEEE Symposium on VLSI Circuits TPC Member (Data Converter, Machine Learning)
[2] 2021 IEEE Symposium on VLSI Circuits Short Course Organizer.
[3] 2022-2023 IEEE Symposium on VLSI Circuits Short Course Chair.
[4] 2023- Symposium on Vehicle Security and Privacy (VehicleSec) TPC Member
Reviewer
2015- IEEE Journal of Solid State Circuits
2015- IEEE Transaction on Circuits and Systems
2014- IEEE Transaction on VLSI
2020- IEEE Sensors
2018- IEEE Journal on Emerging and Selected Topics in Circuits and Systems
2020- IEEE IROS
Domestic journals
Grants, Funding, Sponsors
競争的資金(Grants)
ハードウェア・ソフトウェア協調設計によるセキュアLiDARの創出
2024.04-2028.03, 科研費基盤研究B, 代表.
2024.04-2028.03 JSPS, Grant-in-Aid for Scientific Research B, PI.AI駆動型サイバーフィジカルシステムのセキュリティ評価・対策基盤
2023.10-2029.03, JST CREST, 主たる共同研究者.
2023.10-2029.03, JST CREST, Principal co-researcher. [Website]ゆらぎの熱力学に基づく確率的コンピューティング基盤の創出
2023.04-2027.03, 科研費基盤研究A, 分担.
2023.04-2027.03 JSPS, Grant-in-Aid for Scientific Research A, Co-researcher.サイバーとフィジカルを横断したセンサセキュリティ研究, "A sensor security research crossing cyber and physical domains"
2022.09-2026.03, JST さきがけ ICT基盤強化領域, 代表.
2022.10-2026.03, JST PRESTO, Principal investigator.D3-AI: 多様性と環境変化に寄り添う分散機械学習基盤の創出
2021.09-2027.03, JST CREST, 主たる共同研究者.
2021.09-2027.03, JST CREST, Principal co-researcher. [Website]LiDAR based Sensing System Focused on Privacy Preserving and Occlusions
2021.08 - 2023.03 科研費研究スタート支援, 代表.
2021.08 - 2023.03 JSPS, Grant-in-Aid for Scientific Research, Grant-in-Aid for Research Activity Start-up, Principal investigator.
研究協力
超伝導量子回路の集積化技術の開発
2021.04-, ムーンショット目標6, 研究協力者. [Website]固体テンプレート界面材料による堅牢な人工嗅覚デバイス
2022.10-2028.03, JST CREST 研究協力者
民間財団助成(Local Funding)
2021.04-2022.03 Keio Global Research Institute (KGRI).
2022年度双葉電子記念財団研究助成
2022年度旭硝子財団研究助成
2022年度電気通信普及財団研究助成
2023年度天野工業技術研究所研究助成
2024年度東芝デバイス&ストレージ(株)学術奨励制度
企業共同研究(Sponsors)
株式会社アイシン
ソニーセミコンダクターソリューションズ株式会社
株式会社キヤノン
Awards
2023/5, Michael A. Zachariah Outstanding Student Paper Award, "A 4.6K to 400K Functional PVT-Robust Ringamp-Based 250MS/s 12b Pipelined ADC with Pole-Aware Bias Calibration", IEEE CICC.
2023/2, ETAS Best Short/WIP Paper Award Runner-up, "WIP: Practical Removal Attacks on LiDAR-based Object Detection in Autonomous Driving", Vehicle Sec.
2020/7 1st place out of 1010 teams, "Prostate cANcer graDe Assessment (PANDA) Challenge", Kaggle.
2013/10 Best Design Award, "A 40nm CMOS full asynchronous nano-watt SAR ADC with 98% leakage power reduction by boosted self power gating", IEEE A-SSCC.
2013/1 Special Feature Award, "A 0.35-0.8V 8b 0.5-35MS/s 2bit/step extremely-low power SAR ADC.", IEEE ASP-DAC.