[126]Hwan-Jun Kim, Young-Hee Joo, Sang-Min Lee, and Chang-Il Kim, “Characteristics of Photoresist-derived Carbon Nanofibers for Li-ion Full Cell Electrode” , Trans. Electr. Electron. Mater. Vol. 15, No. 5, pp.265-269 (Oct. 25. 2014)


[125]Kyung-Rok Choi, Jong-Chang Woo, Young-Hee Joo, Yoon-Soo Chun, and Chang-Il Kim, "The Dry Etching Characteristics of TiO2 Thin Films in N2/CF4/Ar Plasma", Trans. Electr. Electron. Mater. Vol. 17, No. 1, pp.216-220 (Feb. 25. 2014)


[124]Jong-Chang Woo, Chang-Auck Choi, Joo-Yeon Kim, Woo-Seok Yang, Yoon Soo Chun, and Chang-Il Kim, "The Fabrication of MEMS Device for Trench width and Depth Using DRIE and Bulk Silicon Etching Process", Trans. Electr. Electron. Mater. Vol. 17, No. 1, pp.216-220 (Feb. 25. 2014)


[123]김환준, 주영희, 김승한, 우종창, 김창일, “고밀도 플라즈마를 이용한 SnO2 박막의 건식 식각 특성”, 전기전자재료학회논문지, 제26권, 제11호, pp.826-830 (Nov. 1 . 2013)


[122]Jong-Chang Woo, Chang-Auck Choi, and Chang-Il Kim, "Dry Etching Characteristics of Indium Zinc Oxide Thin Films in Adaptive Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 14, No. 4, pp.216-220 (Aug. 25. 2013)


[121]우종창, 최창억, 양우석, 주영희, 강필승, 전윤수, 김창일, “BCl3/Ar 유도결합 플라즈마 안에 CH4 가스 첨가에 따라 식각된 TaN 박막 표면 연구”, 전기전자재료학회논문지, 제26권, 제5호, pp.335-340 (May. 1. 2013)


[120]Jong-Chang Woo, Chang-Auck Choi, Young-Hee Joo, Han-Soo Kim, and Chang-Il Kim, "The Dry Etching Properties of TiN Thin Films Using Inductively Coupled CF4/Ar Plasma", Trans. Electr. Electron. Mater. Vol. 14, No. 2, pp.67-70 (Apr. 25. 2013)


[119]Han-Soo Kim, Jong-Chang Woo, Young-Hee Joo, and Chang-Il Kim, "The Use of Inductively Coupled CF4/Ar Plasma to Improve the Etch Rate of ZrO2 Thin Films", Trans. Electr. Electron. Mater. Vol. 14, No. 1, pp.12-15 (Feb. 25. 2013)


[118]진려, 주영희, 우종창, 김한수, 최경록, 김창일, “유도결합 플라즈마를 이용한 TaN 박막의 건식 식각 특성”, 전기전자재료학회논문지, 제26권, 제1호, pp.1-5 (Jan. 1. 2013)


[117]Jong-Chang Woo, Young-Hee Joo, and Chang-Il Kim, "The Dry Etching Properties of TaN Thin Film Using Inductively Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 13, No. 6, pp.287-291 (Dec. 25. 2012)


[116] 주영희, 우종창, 김창일, “BCl3/He 유도결합 플라즈마를 이용한 TiN 박막의 식각특성”, 한국전기전자재료학회 논문지, 제25권, 제9호, pp.681-685 (1. Sep. 2012)


[115]Young-Hee Joo, Jong-Chang Woo, Kyung-Rok Choi, Han-Soo Kim, Jae-Hyung Wi, and Chang-Il Kim, "Dry Etching of ITO Thin Films by The Addition Gases in Cl2/BCl3 Inductively Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 12, No. 3, pp.157-161 (Jun. 25. 2012)


[114]Young-Hee Joo, Jong-Chang Woo, and Chang-Il Kim, “Dry Etching Properties of TiO2 Thin Film Using Inductively Coupled Plasma for Resistive Random Access Memory Application", Trans. Electr. Electron. Mater. Vol. 12, No. 3 pp.144-148 (Jun. 25. 2012)


[113]Young-Hee Joo, Jong-Chang Woo, and Chang-Il Kim, “Dry Etching Characteristics of ZnO Thin Films for the Optoelectronics Devices by Using Inductively Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 13, No. 1, pp.6-9 (25. Feb. 2012)


[112] 우종창, 주영희, 박정수, 김창일, “He/Cl2/BCl3 유도결합 플라즈마를 이용한 TiN 박막의 식각특성”,한국전기전자재료학회 논문지, 제24권, 제9호, pp.718-722 (1. Sep. 2011)


[111]Jong-Chang Woo, Jung-Soo Park, Tae-Kyung Ha, and Chang-Il Kim, “The Dry Etching Properties on TiN Thin Film Using an N2/BCl3/Ar Inductively Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 12, No. 4, pp.144-147 (25. Aug. 2011)


[110]Jong-Chang Woo, Jung-Soo Park, Chang-Il Kim, “The Dry Etching Characteristics of TiN Thin Films in BCl3-based plasma", Trans. Electr. Electron. Mater. Vol. 12, No. 3, pp.106-109 (25. Jun. 2011.)


[109]Jong-Chang Woo, Tae-Kyung Ha, Chen Li, Seung-Han Kim, Jung-Soo Park, Keyoung-Moo Heo, Chang-Il Kim, “Dry Etching Characteristics of Zinc Oxide Thin Films in Cl2-Based Plasma", Trans. Electr. Electron. Mater. Vol. 12, No. 2, pp.60-63 (25. Apr. 2011.)


[108]Jong-Chang Woo, Tae-Kyung Ha, Chen Li, Seung-Han Kim, Jung-Soo Park, Keyoung-Moo Heo, Chang-Il Kim, “Damage on the Surface of Zinc Oxide Thin Films Etched in Cl-based Gas Chemistry”, Trans. Electr. Electron. Mater. Vol. 12, No. 2, pp.516-55 (25. Apr. 2011.)


[107] 하태경, 우종창, 김창일, “O2/BCl3/Ar 플라즈마를 이용한 HfAlO3 박막의 식각특성 연구”, 한국전기전자재료학회 논문지, 제23권, 제12호, pp.353-357 (12. 1. 2010)


[106]Xue Yang, Jong-Chang Woo, Doo-Seung Um, and Chang-Il Kim, "Dry Etching of Al2O3 Thin Films in O2/BCl3/Ar Inductively Coupled Plasma", Trans. Electr. Electron. Mater. Vol. 11, No. 5, pp.202-205 (10. 25. 2010)


[105]위재형, 우종창, 김창일, “유도결합플라즈마를 이용한 O2/BCl3/Ar 가스에 따른 Indium Tin Oxide 박막의 식각 특성 연구”, 한국전기전자재료학회 논문지, 제23권, 제10호, pp.752-758 (10. 1. 2010)


[104] 우종창, 김창일, “Cl2/BCl3/Ar 플라즈마에서 반응성 이온들에 의해 식각된 ZnO 박막 표면 연구”, 한국전기전자재료학회 논문지, 제23권, 제10호, pp.747-751 (10. 1. 2010)


[103](SCOPUS)Tae-Kyung Ha, Jong-Chang Woo and Chang-Il Kim, “Etching Characteristics of HfAlO3 Thin Films using Cl2/BCl3/Ar Inductively Coupled Plasma”, Trans. Electr. Electron. Mater. Vol. 11, No. 4, pp.166-169 (2010. 8. 25.)


[102](SCOPUS)Jong-Chang Woo and Chang-Il Kim, “The Dry Etching Properties of ZnO Thin Film in a Cl2/BCl3/Ar Plasma”, Trans. Electr. Electron. Mater. Vol. 11, No. 3, pp.116-119 (6. 25. 2010)


[101] 하태경, 우종창, 김관하, 김창일, “Cl2/HBr/CF4 반응성 이온 실리콘 식각 후 감광막 마스크 제거”,한국전기전자재료학회 논문지, 제23권, 제5호, pp.353-357 (5. 1. 2010)


[100] 양설, 하태경, 위재형, 엄두승, 김창일, “기판 온도에 따른 Cl2/BCl3/Ar 플라즈마에서 ZrO2 박막의 건식 식각”, 한국표면공학회지, Vol. 42, No. 6, pp.256-259 (2009. 12.)


[99] 엄두승, 김승한, 우종창, 김창일, “유도 결합 플라즈마를 이용한 TaN 박막의 건식 식각 특성 연구”,한국표면공학회지, Vol. 42, No. 6, pp.251-255 (2009. 12.)


[98] 양설, 김관하, 엄두승, 송상헌, 김창일, “Cl2/Ar 플라즈마를 이용한 Al2O3 박막의 식각”, 한국전기전자재료학회 논문지, 제22권, 제12호, pp.1005-1008 (2009. 12.)


[97] 엄두승, 김상협, 정명애, 김창일, “시각 생체 모방 기술”, 한국정밀공학회지, 제26권, 11호, pp.12-19(2009. 11.)


[96] 엄두승, 우종창, 박정수, 김창일, “Metal 게이트 전년을 위한 TiN 박막의 건식식각 특성”, 한국표면공학회지, Vol. 42, No. 4, pp.169-172 (2009. 08.)


[95] 김동표, 엄두승, 김관하, 우종창, 김창일, “BCl3/Cl2/Ar 플라즈마에서의 Na0.5K0.5NbO3 박막의 표면반응”,한국표면공학회지, Vol. 41, No. 6, pp.269-273 (2008. 12.)


[94] 엄두승, 우종창, 김동표, 김창일, “BCl3/Ar 플라즈마에 Cl2 가스 첨가에 따른 TiN 박막의 식각 특성”, 한국전기전자재료학회 논문지, 제21권, 제12호, pp.1051-1056 (2008. 12.)


[93] 엄두승, 강찬민, 양설, 김동표, 김창일, “유도 결합 플라즈마를 이용한 TiN 박막의 식각 특성”, 한국표면공학회지, Vol. 41, No. 3, pp.83-87 (2008. 07.)


[92] Gwan-Ha Kim, Jong-Chang Woo, Kyoung-Tae Kim, Dong-Pyo Kim, and Chang-Il Kim, "Etching Properties of ZnS:Mn Thin Films in an Inductively Coupled Plasma ", Trans on EEM, Vol. 9, No. 1, pp.1-5 (25. Feb. 2008)


[91] Jong-Chang Woo, Gwan-Ha Kim, Dong-Pyo Kim, and Chang-Il Kim, "Etch Properties of HfO2 Thin Films using in CH4/Ar Inductively Coupled Plasma", Trans on EEM, Vol. 8, No. 6, pp.229-233 (25. Dec. 2007)


[90]Jong-Chang Woo, Kyoung-Tae Kim, Gwan-Ha Kim, Jong-Sik Kim, Jong-Gyu Kim, and Chang-Il Kim, "Catalytic Growth and Properties of Carbon Nanotubes from Fe-Mo/MgO by Chemical Vapor Deposition" Trans. on EEM. Vol. 8, No. 5, pp.206-210 (Oct. 25, 2007)


[89] 우종창, 김관하, 김경태, 김종규, 강찬민, 김창일, “BCl3/Ar 유도결합 플라즈마를 이용한 ZnO 박막의 식각 특성”, 대한전기학회논문지, 제56권, 제3호, pp.566-570 (2007. 03)


[88] 김경태, 김종규, 우종창, 김관하, 김창일, “비휘발성 메모리 소자응용을 위한 Eu 첨가량에 따른 BET 박막의 강유전 특성”, 한국전기전자재료학회 논문지, 제20권, 제3호, pp.223-227 (2007. 03)


[87] 김관하, 김경태, 김종규. 우종창, 강찬민, 김창일, “BCl3/Ar 유도결합 플라즈마 시스템에서 이온 에너지 분포에 따른 HfO2 박막의 식각”, 대한전기학회논문지, 제56권, 제2호, pp.349-354 (2007. 02)


[86] 김종식, 김관하, 김창일, “촉매화학기상증착법에 의한 단일벽 탄소나노튜브의 합성과 미세구조”, 대한전기학회논문지, C부문 55권, 7호, pp.359-363 (2006. 07)


[85] 김관하, 김창일, “사중극자 질량분석기를 이용한 BCl3/Ar 유도결합 플라즈마 특성 진단”, 대한전기학회논문지, C부문 18권, 4호, pp.204-208 (2006. 04.)


[84] Cheol-In Lee, Kyoung Tae Kim, and Chang-Il Kim, “Characterization of BST Thin Films using MgO(100) Buffer Layer for Tunable Devices”, Trans. on EEM. Vol. 7, No. 2, pp.67-71 (02,2006)


[83] 김경태, 김창일, “Pt/Bi3.25La0.75Ti3O12/ZrO2/Si (MFIS)-FET 구조를 위한 ZrO2 buffer layer의 영향”, 전기전자재료학회논문지, 18권, 5호, pp.439-444 (2005. 05)


[82] Gwan-Ha Kim, Kyoung-Tae Kim, Dong-Pyo Kim, and Chang-Il Kim, "Dry etching of BST using inductively coupled plasma”, Trans. on EEM. Vol. 6, No. 2, pp.46-50 (04, 2005)


[81] Seong-Mo Koo, Kyoung-Tae Kim, and Chang-Il Kim, “Electrical Improvement of PZT Thin Films Etched into CF4/(Cl2+Ar) Plasma”, Trans. on EEM. Vol. 5, No. 6, pp.223-226 (12, 2004)


[80] 손영훈, 김경태, 김창일, “졸겔법으로 제조된 Tb-doped PZT 박막의 강유전 특성”, 전기전자재료학회논문지, 17권, 9호, pp.947-952 (2004. 09)


[79] 김경태, 김창일, “Sol-gel법으로 제작된 BST 박막의 Bi 첨가에 따른 구조적 유전적 특성”, 전기전자재료학회논문지, 17권, 8호, pp.852-858 (2004. 08)


[78] 손영훈, 김경태, 김창일, 이병기, 장의구, “Sm 첨가에 의한 PZT 박막의 강유전 특성”, 전기전자재료학회논문지, 17권, 2호, pp.178-183 (2004. 02)


[77] 이정미, 김경태, 김동표, 김창일, “CeO2 buffer layer를 이용한 Pt/BLT/CeO2/Si 구조의 특성”, 전기전자재료학회논문지, 16권, 10호, pp.865-870 (2003. 10)


[76] 손영훈, 김경태, 김동표, 이병기, 김창일, 장의구, “Eu 첨가에 따른 PZT 박막의 강유전특성”, 전기전자재료학회논문지, 16권, 7호, pp.611-615 (2003. 07)


[75] 장윤성, 김동표, 김창일, 장의구, “Ar/CF4/Cl2 유도 결합 플라즈마에 의한 gold 박막의 식각 특성”,전기전자재료학회논문지, 16권, 7호, pp.564-568 (2003. 07)


[74] Dong-Pyo Kim, and Chang-Il Kim, "Etching Characteristics of Au Thin Films using Inductively Coupled CF4/Cl2/Ar Plasma", Trans. on EEM. Vol. 4, No. 3, pp.1-4 (Jun, 2003)


[73] 강필승, 김경태, 김동표, 김창일, “Ar/CF4 유도 결합 플라즈마에서 식각된 (Ba0.6Sr0.4)TiO3 박막의 손상 감소”, 전기전자재료학회논문지, 16권, 6호, pp.460-464 (2003. 06)


[72] 박재화, 김경태, 김동표, 김창일, 장의구, “강유전체 YMnO3 박막의 건식 식각특성 연구”, 전기전자재료학회논문지, 16권, 6호, pp.449-454 (2003. 06)


[71] 김동표, 김경태, 김창일, “유도 결합 CF4/Ar 플라즈마에 의한 Bi4-xLaxTi3O12 박막의 식각 표면 반응”, 전기전자재료학회논문지, 16권, 5호, pp.378-384 (2003. 05)


[70] Dong-Pyo Kim, and Chang-Il Kim, "Etching Characteristics of YMnO3 Thin Films in Cl-Based Inductively Coupled Plasma", Trans. on EEM. Vol. 4, No. 2 pp.29-34 (04, 2003)


[69] 임규태, 김경태, 김동표, 김창일, “Ar/CF4 유도결합 플라즈마를 이용한 BET 박막의 식각 메카니즘”, 전기전자재료학회논문지, 16권, 4호, pp.298-303 (2003. 04)


[68] 김관하, 김경태, 김동표, 김창일, “유도결합 플라즈마를 이용한 PST 박막의 식각 특성”, 전기전자재료학회논문지, 16권, 4호, pp.286-291 (2003. 04)


[67] 김경태, 강동희, 김동표, 이철인, 김태형, 김창일, 심일운, “FRAM 응용을 위한 건조온도에 따른 BLT 박막의 강유전 특성”, 전기전자재료학회논문지, 16권, 4호, pp.265-271 (2003. 04)


[66] 임규태, 김동표, 김창일, “강유전체 박막의 식각 기술동향”, 한국전기전자재료학회지, 16권 3호 pp.28-35 (2003. 03)


[65] 김경태, 김창일, 김태형, “Bi-perovskite 층상 구조의 강유전체 박막 기술동향”, 한국전기전자재료학회지, 16권 3호 pp.12-21 (2003. 03)


[64] 김경태, 김동표, 김창일, “FRAM 기술동향”, 대한전기학회지(전기의 세계), 제52권 제2호 pp.23-28 (2003. 02)


[63] 임규태, 김동표, 김창일, 최장현, 송준태, “CF4/O2 gas chemistry에 의해 식각된 Ru 박막의 표면 반응 연구”, 전기전자재료학회논문지, 15권, 12호, pp.1115-1119 (2002. 12)


[62] 장윤성, 김동표, 김창일, 장의구, 이수재, “Cl2/Ar 유도결합 플라즈마에 의한 gold 박막의 식각특성”,전기전자재료학회논문지, 15권, 12호, pp.1111-1114 (2002. 12)


[61] 강필승, 김경태, 김동표, 김창일, 이수재, “Ar/CF4 유도결합 플라즈마를 이용한 (Ba0.6Sr0.4)TiO3 박막의 식각특성”, 전기전자재료학회논문지, 15권, 11호, pp.933-938 (2002. 11)


[60] 김경태, 강동희, 김창일, 심일운, “비휘발성 메모리 소자 응용을 위한 Bi 첨가에 따른 BLT 박막의 강유전 특성”, 전기전자재료학회논문지, 15권, 9호, pp.764-769 (2002. 09)


[59] 김동표, 김창일, 이철인, 김태형, 이원재, 유병곤, “Cl2/CF4/Ar 유도결합 플라즈마에 의해 식각된 SBT 박막의 표면 손상”, 전기전자재료학회 논문지, 15권, 7호, pp.570-575 (2002. 7.)


[58] 김경태, 권지운, 심일운, 김창일, “MOD법으로 제작한 Bi3.25La0.75Ti3O12 박막의 강유전 특성에 관한 연구”, 전기전자재료학회 논문지, 15권, 6호, pp.486-491 (2002. 6.)


[57] 강필승, 김창일, 김상기, “CF4/O2 gas 플라즈마를 이용한 폴리이미드 박막의 식각”, 전기전자재료학회 논문지, 제15권, 제5호, pp.393-397 (2002. 5.)


[56] 장윤성, 김동표, 김창일, 장의구, “Ar/CF4/Cl2 플라즈마에 의한 CeO2 박막의 식각 특성 연구”, 전기전자재료학회 논문지, 15권, 5호, pp.388-392 (2002. 5.)


[55] 강명구, 김경태, 김창일, “Cl2/CF4 플라즈마에 Ar, O2 첨가에 따른 PZT 박막의 식각 손상 개선 효과”, 전기전자재료학회 논문지, 15권, 4호, pp.319-324 (2002. 4.)


[54] 박재화, 김경태, 김창일, 장의구, 이철인, “강유전 YMnO3 박막 식각에 대한 CF4 첨가 효과”, 전기전자재료학회 논문지, 15권, 4호, pp.314-318 (2002. 4.)


[53] 오창석, 김창일 “고밀도 플라즈마를 이용한 CeO2 박막의 식각 특성 연구”, 전자공학회논문지 제39권, SD편, 제12호, pp.854-859 (01. 12)


[52] 김동표, 김창일, 이철인, “CF4/Ar 가스 플라즈마를 이용한 YMnO3 박막의 식각 반응 연구”, 전기전자재료학회논문지, 14권, 12호, pp.959-964 (01. 12)


[51] 최운식, 강재훈, 서용진, 김창일, 김충혁, 박용필 “Ca 치환에 따른 (Sr,Ca)TiO3계 세라믹스의 구조적 및 유전 특성”, 전기전자재료학회논문지, 14권, 11호, pp.879-884 (01. 11)


[50] 최성기, 김창일, 장의구, “유도결합 플라즈마에 의한 (Ba,Sr)TiO3 박막의 식각 손상에 관한 연구”전기전자재료학회논문지, 14권, 10호, pp.785-791 (01. 10)


[49] 김영찬, 김창일 “고밀도 플라즈마에 의한 Y2O3 박막의 식각 메커니즘 연구”, 전자공학회논문지 제39권, SD편, 제9호, pp.611-615 (01. 09)


[48] 김동표, 김창일, 이원재, 유병곤, 김태형, 장의구, “CF4/Ar 플라즈마 내 Cl2 첨가에 의한 SrBi2Ta2O9박막의 식각 특성”, 전기전자재료학회논문지, 14권, 9호, pp.714-719 (01. 09)


[47] 오창석, 김창일 “유도결합 플라즈마를 이용한 CeO2 박막의 식각 메카니즘”, 전기전자재료학회논문지, 14권, 9호, pp.695-699 (01. 09)


[46] 강명구, 김경태, 김창일, “유도결합 플라즈마에 의해 식각된 PZT 박막의 식각 damage 개선”, 전기전자재료학회논문지, 14권, 7호, pp.551-557 (01. 07)


[45] 김동표, 김창일, “Cl2유도결합 플라즈마를 이용한 SBT 박막의 식각 특성”, 전기학회논문지, 제50권, C편, 제5호, pp.211-215, (01. 5)


[44] 신성욱, 김창일, 장의구, “OES를 이용한 SBT 박막의 식각 특성 연구” 전기전자재료학회논문지, 14권, 3호, pp.185-189 (01. 03)


[43] 민병준, 김창일, 장의구, “유도 결합 플라즈마를 이용한 YMnO3 박막의 건식 식각 특성 연구” 전기전자재료학회논문지, 14권, 2호, pp.93-98 (01. 2)


[42] 민병준, 김창일 “자장강화된 유도결합 플라즈마를 이용한 (Ba,Sr)TiO3 박막의 식각 특성”, 전기전자재료학회논문지, 13권, 12호, pp.996-1002 (00. 12)


[41] 김승범, 김창일 “BCl3/Cl2/Ar 고밀도 플라즈마에 의한 (Ba,Sr)TiO3 박막의 식각 mechanism 연구”,전자공학회논문지, 제38권, SD편, 제11호, pp.902-908 (00. 11)


[40] 김상용, 이우선, 서용진, 김창일, 장의구, 박진성 “탈이온수의 압력과 정제된 N2 가스가 ILD-CMP 공정에 미치는 영향”, 전기전자재료학회논문지, 13권, 10호, pp.812-816 (00. 10)


[39] 이우선, 김상용, 서용진, 박진성, 김창일, “다층 구조 InSb 홀소자의 제작과 특성” 전기전자재료학회논문지, 13권, 8호, pp.681-687 (00. 8)


[38] Nam-Hoon Kim, Chang-Il Kim, Eui-Goo Chang, and Kwang-Ho Kwon, "Investigation on the Etching of Platinum Film using High Density Inductive Coupled Ar/Cl2/HBr Plasmas", KIEEME Trans. on Electrical and Electronic Materials, Vol. 1, No. 3, pp.14-17 (00. 9)


[37] 유석빈, 김남훈, 김창일, 장의구 “도핑되지 않은 비정질 실리콘의 고밀도 Cl2/HBr 플라즈마에 의한 식각 시 나칭 효과” 전기전자재료학회논문지, 제13권, 제8호, pp.651-657 (00. 8)


[36] 류재흥, 김남훈, 장의구, 김창일, “Cl2/Ar 유도 결합 플라즈마에서 Pt 박막 식각시 N2 가스 첨가 효과”, 전자공학회논문지, 제37권, SD편, 제7호, pp.513-518 (00. 7)


[35] Nam-Hoon Kim, Sung-Wook Shin, Seok-Bin Yu, Chang-Il Kim, and Eui-Goo Chang, "A Study on Pumping Effct of Oxygen in Polysilicon Gate Etching", KIEEME Trans. on Electrical and Electronic Materials, Vol. 1, No. 2, pp.1-6 (00. 6)


[34] 김승범, 김창일, “Ar/CF4고밀도 플라즈마에서 (Ba,Sr)TiO3박막의 식각 메카니즘”, 전기학회논문지, 제49권, C편, 제5호, pp.265-269, (00. 5)


[33] 김창일, 김동표, 민병준, “강유전체 및 전년 재료의 식각 기술 동향”, 전기전자재료학회지, 13권, 4호, pp.33-38 (00. 4)


[32] 김동표, 김창일, “MEICP에 의한 SrBi2Ta2O9 박막의 식각 특성에 관한 연구”, 전자공학회논문지, 제37권, SD편, 제4호, pp.239-244 (00. 4)


[31] 안태현, 서용진, 김창일, 장의구, “고밀도 플라즈마에 의한 PZT 박막의 식각특성 연구”, 전기전자재료학회논문지, 13권, 3호, pp.188-192 (00. 3)


[30] 서정우, 이원재, 유병곤, 장의구, 김창일, “Ar/CHF3 플라즈마를 이용한 SBT 박막에 대한 식각 메카니즘 연구”, 전기전자재료학회논문지, 13권, 3호, pp.183-187 (00. 3)


[29] 김남훈, 권광호, 김창일, 장의구 “자장 강화 반응성 이온 식각장비를 이용한 몰리브덴 박막의 식각특성 연구” 전기전자재료학회논문지, 13권, 1호, pp.6-12 (00. 1)


[28] 김상용, 김남훈, 김창일, 장의구, “연마 시간 관계식을 이용한 STI CMP의 특성에 관한 연구” 전기전자재료학회논문지, 12권, 9호, pp.751-756 (99. 9)


[27] 백명기, 김상용, 김창일, 장의구, “CMP 연마를 통한 STI에서 Nitride Residue 감소에 관한 연구”,한국산업기술학회 학회지 1권, 창간호, pp.101-106 (99. 7)


[26] 김남훈, 유석빈, 김창일, 장의구, “실리콘 게이트 식각시 산소가스 첨가 효과”, 한국산업기술학회 학회지 1권, 창간호, pp.87-92 (99. 7)


[25] 김남훈, 김창일, 권광호, 장의구, “유도 결합 플라즈마를 이용한 백금박막의 건식 식각시 산소가스첨가 효과”, 전기학회논문지, 제48권, C편 제6호, pp.451-455 (99. 6)


[23] 김승범, 이영준, 염근영, 김창일, “유도결합 플라즈마를 이용한 (Ba, Sr)TiO3 박막의 식각특성 연구”전자공학회 논문지, 제36권, D편, 제4호, pp.344-350 (99. 4)[24] 김창일, 권광호, “Cl2/Ar 가스 플라즈마에 O2 첨가에 의한 Pt 식각특성 연구”, 전자공학회논문지, 제37권, D편, 제5호, pp.409-415 (99. 5)


[22] 안태현, 김남훈, 김창일, 서용진, 장의구, “Deep 서브마이크론 LDD- nMOSFET의펀치쓰루 및 핫캐리어 현상의 억제를 위한 LDD 공정설계에 관한 연구” 전기전자재료학회 논문지, 12권, 3호,pp.193-199 (99. 3)


[21] 서용진, 김상용, 김태형, 김창일, 이우선, 장의구, “CMP 공정에 기인하는 소자특성의 열화를 방지하기 위한 PMD 구조에 대한 연구” 전기전자재료학회논문지, 12권, 2호, pp.111-117 (99. 2)


[20] 김상용, 서용진, 김태형, 이우선, 김창일, 장의구, “Chemical Mechanical Polishing(CMP) 공정을 이용한 Multi-level Metal 구조의 광역 평탄화에 관한 연구” 전기전자재료학회논문지, 11권, 12호,pp.1084-1090,(1998. 12)


[19] 김창일, 권광호, “ICP에 의한 BCl3/Cl2 플라즈마 내에서 Pt 박막의 식각 특성”, 전기전자재료학회논문지, 11권, 10호, pp.804-808 (1998. 10)


[18] 김창일, 권광호, 백규하, 윤용선, 박종문, 남기수, 장의구, “Al합금막의 식각후 CHF3 처리에 의한 부식 억제 효과”, 전기전자재료학회논문지, 11권, 7호, pp.517-521,(1998. 7)


[17] 김창일, 권광호, 백규하, 윤용선, 박종문, 남기수, “Al(Cu 1%)막의 플라즈마 식각 후 부식 억제를 위한 SF6 처리시 fluorine passivation 효과”, 전기전자재료학회 논문지, 11권, 3호, pp.203-207, (1998. 3)


[16] 김창일, 권광호, 윤용선, 백규하, 김상기, 남기수, 장의구, “AlCu(1%)막의 플라즈마 식각 후 fluorine 처리에 의한 passivation막의 형성”, 전자공학회 논문지, 제35권, D편, 제1호, pp.27-33, (1998. 1)


[15] 권광호, 김창일, 윤선진, 김현수, 염근영, “ICP에 의한 Pt박막의 식각 메카니즘에 관한 연구”, 전자공학회논문지, 제34권, D편, 제6호, pp.451-456, (1997. 6)


[14] 권광호, 김창일, 윤선진, 김상기, 백규하, 남기수, “BCl3/SF6 gas chemistries에 의한 TiW막의 식각 특성 연구”, 대한전자공학회 논문지, 제34권 D편 제3호, pp.101-108, (1997. 3)


[13] 김창일, 권광호, 윤선진, 김상기, 백규하, 남기수, “AlCu 플라즈마 식각 후 Al 결정입계에서 Al 부식현상”, 전자공학회논문지, 제33권, A편, 제12호, pp.2355-2360, (1996. 12)


[12] 구진근, 김창일, 박형호, 권광호, 현영철, 서경수, 남기수, “Al(Si, Cu) 고용체의 플라즈마 식각후 표면 특성”, 전기전자재료학회지, 9권, 3호, pp.155-165, (1996. 3)


[11] 김창일, 김태형, 장의구, “MERIE형 금속식각기를 이용한 Al 합금막의 식각”, 전기전자재료학회지, 9권, 2호, pp.111-119, (1996. 2)


[10] Sun-Jin Yun, Kwang-Ho Kwon, Chang-Il Kim and Kee-Soo Nam, "Study on the Residue Film Induced by Magnetically-Enhanced Reactive Ion Etching of Al(Si, Cu) Film Using the Mixture of BCl3, Cl2, and N2 Gases", J. Kor. Vac. Soc., Proceedings of the 1st Korea-Japan International Symposium on Surface Analysis, Vol. 5, No. S1, pp.40-45, (1996. 3)


[9] 김창일, 김태형, 장의구, “CHF3/CF4를 사용한 콘택 산화막 식각”, 전기전자재료학회지, 8권, 6호, pp.774-779, (1995.11)


[8] 최부연, 김창일, 장기호, 이종현, 유형준, “건식 식각 공정에서 레이저 간섭을 이용한 In-situ 웨이퍼온도 측정”, 응용물리, 제8권 제4호, pp.330 -334, (1995.7)


[7] 전영진, 김창일, 구진근, 유형준, “Taguchi 방법을 사용한 콘택 산화막 식각공정 최적화 연구”, 한국재료학회지, Vol. 5, No. 1, pp.63-74, (1995. 2)


[6]. 김태형, 김창일, 최동진, 장의구, “N2O 가스로 재산화시킨 oxynitride막의 특성”, 전기전자재료학회지, 7권, 1호, pp.25-31 (1994.1)


[5] 이철인, 서용진, 최현식, 김창일, 김태형, 장의구, “N2O 가스에서 열산화된 게이트 산화막의 전기적특성”, 전기전자재료학회지, 6권, 3호, pp.269-276 (1993.5)


[4] 김창일, 장의구, “N2O 가스로 열산화된 게이트 유전체의 특성”, 전기전자재료학회지, 6권, 1호, pp.55-62 (1993.1)


[3] 김태형, 김창일, 장의구, “LPCVD로 증착된 WSiX/poly-Si 막 두께 변화에 의한 W-polycide 특성”, 전기학회논문지 제41권 제10호, pp.1172-1179 (1992.10)


[2] 서용진, 최현식, 김상용, 김태형, 김창일, 장의구, “Twin-tub CMOS 공정으로 제작된 서브마이크론 n 채널 및 p채널 MOSFET의 특성”, 전기전자재료학회지, 5권, 3호, pp.320-328 (1992.9)


[1] 장의구, 김창일, 김태형, 서용진, 최현식, “RTP 에 의한 W-Polycide 막의 특성” 전기학회논문지 제 40권 제11호, pp.1141-1146 (1991.11)