Conference Proceedings
International Conference Proceedings
2024
[Accepted] Jeongwon Choe and Youngjoo Lee*, "Cost-efficient partially-parallel LDPC decoder architecture for 50G-PON standard," International SoC Design Conference (ISOCC), Sapporo, Japan, Aug. 2024.
Younghoon Byun and Youngjoo Lee*, "Partially-structured transformer pruning with patch-limited XOR-gate compression for stall-free sparse-model access," Design Automation Conference (DAC), San Francisco, CA, USA, June 2024.
Jiwoo Kim, Gunho Park, and Youngjoo Lee*, "Low-power encoder and compressor design for approximate radix-8 Booth multiplier," IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, Singapore, May 2024.
Sangil Han+, Jaehee Kim+, Dongyun Kam, Byeong Yong Kong, Mijung Kim, Young-Seok Kim, and Youngjoo Lee*, "Constrained sorter design using zero-one principle," IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, Singapore, May 2024. (IEEE CASS Student Travel Grants)
Eunbin Park, Myungjun Jin, and Youngjoo Lee*, "Cost-efficient SIMD ASIP architecture for mobile touchscreen controllers," IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, Singapore, May 2024.
Gunho Park+, Baeseong Park+, Minsub Kim, Sungjae Lee, Jeonghoon Kim, Beomseok Kwon, Se Jung Kwon, Byeongwook Kim, Youngjoo Lee, and Dongsoo Lee*, "LUT-GEMM: Quantized matrix multiplication based on LUTs for efficient inference in large-scale generative language models," International Conference on Learning Representations (ICLR), Vienna, Austria, May 2024.
Hyunhoon Lee+, Hyeokjun Kwon+, and Youngjoo Lee*, "A 2.7~13.3 uJ/boot/slot flexible RNS-CKKS processor in 28nm CMOS technology for FHE-based privacy-preserving computing," IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, Feb. 2024. (Student Travel Grant Award)
Dongyun Kam, Sangbu Yun, Jeongwon Choe, Zhengya Zhang, Namyoon Lee, and Youngjoo Lee*, "A 21.9 ns, 15.7 Gbps/mm^2 (128, 15) BOSS FEC decoder for 5G/6G URLLC applications," IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, Feb. 2024.
2023
Jiwon Kim, Seungsik Moon, and Youngjoo Lee*, "Low-complexity phase shift design for IRS-aided SU-MIMO wireless systems," International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2023.
Sangbu Yun and Youngjoo Lee*, "Analysis of deep learning-based MIMO detectors," International Conference on ICT Convergence (ICTC), Jeju, Korea, Oct. 2023.
Jin Hyun, Kwangho Chung, Wonhee Lee, Seungick Choi, Youngjoo Lee, and Nayoung Kim*, "Assessing gait improvement after total knee arthroplasty using smart insole and deep neural network", International Conference of the Korean Academy of Rehabilitation Medicine, Incheon, Korea, Oct. 2023.
Wonhee Lee, Jin Hyun, Seungick Choi, Sangbu Yun, Youngjoo Lee, and Nayoung Kim*, "Distinguishing pathologic gait in the elderly by using instrumented insole and deep neural networks", International Conference of the Korean Academy of Rehabilitation Medicine, Incheon, Korea, Oct. 2023.
Jung Gyu Min, Dongyun Kam, Younghoon Byun, Gunho Park, and Youngjoo Lee*, "Energy-efficient RISC-V-based vector processor for cache-aware structurally-pruned transformers," ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Vienna, Austria, Aug. 2023.
Eunji Yoo+, Gunho Park+, Jung Gyu Min, Se Jung Kwon, Baeseong Park, Dongsoo Lee, and Youngjoo Lee*, "TF-MVP: Novel sparsity-aware transformer accelerator with mixed-length vector pruning," Design Automation Conference (DAC), San Francisco, CA, USA, July 2023.
Jeongwon Choe and Youngjoo Lee* "A 2.35 Gb/s/mm^2 (7440, 6696) NB-LDPC decoder over GF(32) using memory-reduced column-wise trellis min-max algorithm in 28nm CMOS technology," IEEE Symposium on VLSI Technology and Circuits (VLSI), Kyoto, Japan, June 2023.
Younghoon Byun+, Seungsik Moon+, Baeseong Park, Se Jung Kwon, Dongsoo Lee, Gunho Park, Eunji Yoo, Jung Gyu Min, and Youngjoo Lee*, "Sparsity-aware memory interface architecture using stacked XORNet compression for accelerating pruned-DNN models," Conference on Machine Learning and Systems (MLSys), Miami, FL, USA, June 2023.
Ranggi Hwang, Minhoo Kang, Jiwon Lee, Dongyun Kam, Youngjoo Lee, and Minsoo Rhu*, "GROW: A row-stational sparse-dense GEMM accelerator for memory-efficient graph convolutional neural networks," IEEE International Symposium on High-Performance Computer Architecture (HPCA), Montreal, QC, Canada, Feb. 2023.
Byeong Yong Kong*, Hoyoung Yoo, and Youngjoo Lee, "An automated synthesis framework for fast evaluation of maximum operating frequency," International Conference on Electronics, Information, and Communication (ICEIC), Singapore, Singapore, Feb. 2023.
2022
Jiwon Kim, Seungsik Moon, and Youngjoo Lee*, "Hardware analysis of channel estimation method for IRS-aided MIMO wireless systems," International SoC Design Conference (ISOCC), Gangneung, Korea, Oct. 2022. (ETRI Award)
Gyuhyun Jung, Hyeokjun Kwon, Hyunhoon Lee, and Youngjoo Lee*, "Fast estimation of NTT/INTT accelerator costs for RNS-based homomorphic encryption," International SoC Design Conference (ISOCC), Gangneung, Korea, Oct. 2022.
Nayoung Kim*, Seungick Choi, Sangbu Yun, Jin Hyun, Wonhee Lee, and Youngjoo Lee*, "Classification of pathological gait using smart insole and machine-learning algorithm", International Conference of the Korean Academy of Rehabilitation Medicine, Incheon, Korea, Oct. 2022.
Dongyun Kam, Byeong Yong Kong, and Youngjoo Lee*, "A 1.1µs 1.56Gb/s/mm^2 cost-efficient large-list SCL polar decoder using fully-reusable LLR buffers in 28nm CMOS technology," IEEE Symposium on VLSI Technology and Circuits (VLSI), Honolulu, HI, USA, June 2022.
Seungsik Moon+, Jaehyun Ko+, Byungsub Kim, and Youngjoo Lee*, "A study on reliable high-speed HBC enhanced by ECC for wearable neural interfaces," IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), Incheon, Korea, June 2022.
Myeongji Yun, Seungwoo Hong, Sunwoo Yoo, Sungmin Park, Junho Kim, and Youngjoo Lee*, "Lightweight end-to-end stress recognition using binarized CNN-LSTM models," IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), Incheon, Korea, June 2022.
Dongyoung Rim, Hyeokjun Kwon, and Youngjoo Lee*, "Algorithm-hardware co-optimization for cost-efficient ML-based ISP accelerator," IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, May 2022.
Seungsik Moon, Namyoon Lee, and Youngjoo Lee*, "A 2.86Gb/s fully-flexible MU-MIMO processor for jointly optimizing user selection, power allocation, and precoding in 28nm CMOS technology," IEEE Custom Integrated Circuits Conference (CICC), Newport Beach, CA, USA, Apr. 2022.
Dongyun Kam+, Jung Gyu Min+, Jongho Yoon, Sunmean Kim, Seokhyeong Kang, and Youngjoo Lee*, "Design and evaluation frameworks for advanced RISC-based ternary processor," IEEE/ACM Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Mar. 2022.
2021
Jeongwon Choe and Youngjoo Lee*, "A 7Gbps (160, 80) non-binary LDPC decoder with dual-message EMS algorithm in 22nm FinFET Technology," IEEE Asian Solid-State Circuits Conference (A-SSCC), Busan, Korea, Nov. 2021.
Changhyeon Kim, Dongyoung Rim, Jeongwon Choe, Dongyun Kam, Giyoon Park, Seokki Kim, and Youngjoo Lee*, "FPGA-based ordered statistic decoding architecture for B5G/6G URLLC IIOT networks," IEEE Asian Solid-State Circuits Conference (A-SSCC), Busan, Korea, Nov. 2021.
Dongyoung Rim and Youngjoo Lee*, "Performance evaluation of systolic DCNN accelerators," International Conference on Consumer Electronics Asia (ICCE-Asia), Goseong, Korea, Nov. 2021.
Hyunsung Kim and Youngjoo Lee*, "Utilizing energy-quality trade-off for low-cost ML-based compressive sensing reconstruction," Asilomar Conference on Signals, Systems and Computers (ACSSC), Nov. 2021.
Jin Hyun, Seungsik Moon, and Youngjoo Lee*, "Low-complexity voice activity detection algorithm for edge-level device," International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2021. (Best Paper Award)
Sunwoo Yoo, Seungwoo Hong, and Youngjoo Lee*, "Low-complexity on-device ECG classifier using binarized neural network," International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2021.
Hyunsung Kim, Jaehee Kim, Young-Seok Kim, Mijung Kim, and Youngjoo Lee*, "Energy-efficient intelligent EPTS device using novel DCNN-based dynamic sensor activation," IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), June 2021.
Dongyun Kam, Byeong Yong Kong, and Youngjoo Lee*, "Low-latency polar decoder using overlapped SCL processing," IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), June 2021.
Younghoon Byun and Youngjoo Lee*, "Rapid design space exploration of near-optimal memory-reduced DCNN architecture using multiple model compression techniques," IEEE International Symposium on Circuits and Systems (ISCAS), May 2021.
Yesung Kang, Eunji Kwon, Seunggyu Lee, Younghoon Byun, Youngjoo Lee, and Seokhyeong Kang*, "Approach to improve the performance using bit-level sparsity in neural networks," IEEE/ACM Design, Automation and Test in Europe (DATE), Feb. 2021.
2020
Seungsik Moon, Deokhwan Han, Namyoon Lee, and Youngjoo Lee*, "Energy-efficient precoding architecture for multi-user MIMO systems," Asilomar Conference on Signals, Systems and Computers (ACSSC), Nov. 2020.
Minho Ha, Seokha Hwang, Jeonghun Kim, Youngjoo Lee, and Sunggu Lee*, "Hierarchical approximate memory for deep neural network applications," Asilomar Conference on Signals, Systems and Computers (ACSSC), Nov. 2020.
Jongmin Park and Youngjoo Lee*, "Low-complexity DNN-based end-to-end automatic speech recognition using low-rank approximation," International SoC Design Conference (ISOCC), Yeosu, Korea, Oct. 2020.
Jung Gyu Min and Youngjoo Lee*, "High-quality HTTP live streaming system for limited communication bandwidth," International SoC Design Conference (ISOCC), Yeosu, Korea, Oct. 2020.
Sangbu Yun, Dongyun Kam, Jeongwon Choe, Byeong Yong Kong, and Youngjoo Lee*, "Ultra-low-latency LPDC decoding architecture using reweighted offset min-sum algorithm," IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, Oct. 2020, pp. 1-5.
2019
Seungsik Moon, Hyunhoon Lee, Younghoon Byun, Jongmin Park, Junseo Joe, Seokha Hwang, Sunggu Lee, and Youngjoo Lee*, "FPGA-based sparsity-aware CNN accelerator for noise-resilient edge-level image recognition," IEEE Asian Solid-State Circuits Conference (A-SSCC), Macao, China, Nov. 2019, pp. 205-208. (Distinguished Design Award)
Hyunsung Kim, Juin Lim, Wonbin Hong, Joonho Park, Young-Seok Kim, Mijung Kim, and Youngjoo Lee*, "Design of a low-power BLE5-based wearable device for tracking movements of football players," International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2019. (Synopsys Award)
Junseo Joe, Jaeha Kung, Sunggu Lee, and Youngjoo Lee*, "Similarity-based LSTM architecture for energy-efficient edge-level speech recognition," ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland, July 2019.
Sangwoo Jung, Seungsik Moon, Youngjoo Lee, and Jaeha Kung*, "MixNet: An energy-scalable and computationally lightweight deep learning accelerator," ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland, July 2019.
Dongyun Kam and Youngjoo Lee*, "Ultra-low-latency parallel SC polar decoding architecture for 5G wireless communications," IEEE International Symposium on Circuits and Systems (ISCAS), Sapporo, Japan, May 2019, pp. 1-5. (Student Travel Grant Award)
Jongmin Park, Seungsik Moon, Younghoon Byun, Sunggu Lee, and Youngjoo Lee*, "Multi-level weight indexing scheme for memory-reduced convolutional neural network," IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), Hsinchu, Taiwan, Mar. 2019, pp. 284-287. (Student Travel Grant Award)
Younghoon Byun, Minho Ha, Jeonghun Kim, Sunggu Lee, and Youngjoo Lee*, "Low-complexity dynamic channel scaling of noise-resilient CNN for intelligent edge devices," IEEE/ACM Design, Automation and Test in Europe (DATE), Florence, Italy, Mar. 2019, pp. 114-119.
2018
Hyunhoon Lee, Younghoon Byun, Seokha Hwang, Sunggu Lee, and Youngjoo Lee*, "Fixed-point quantization of 3D convolutional Neural Networks for energy-efficient action recognition," International SoC Design Conference (ISOCC), Daegu, Korea, Nov. 2018, pp. 129-130.
Junseo Joe, Seokha Hwang, Sunggu Lee, and Youngjoo Lee*, "Multi-mode LSTM network for energy-efficient speech recognition," International SoC Design Conference (ISOCC), Daegu, Korea, Nov. 2018, pp. 133-134.
Jaehwan Jung, In-Cheol Park, and Youngjoo Lee*, "A 2.4pJ/bit, 6.37Gb/s SPC-enhanced BC-BCH decoder in 65nm CMOS for NAND flash storage systems," IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju, Korea, Jan. 2018, pp. 329-330.
2017
Yunho Park, Youngmin Kim, and Youngjoo Lee*, "High-performance two-step Lagrange interpolation technique for 4K UHD applications," International SoC Design Conference (ISOCC), Seoul, Korea, Nov. 2017, pp. 268-269.
Seokha Hwang, Jaehwan Jung, Daesung Kim, Jeongseok Ha, In-Cheol Park, and Youngjoo Lee*, "An energy-optimized (37840, 34320) symmetric BC-BCH decoder for healthy mobile storages," IEEE Asian Solid-State Circuits Conference (A-SSCC), Seoul, Korea, Nov. 2017, pp. 169-172.
2016
Joonggeun Ahn, Jihoon Kim, and Youngjoo Lee*, “Sharpness-aware real-time haze removal for advanced driver assistance systems,” International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2016, pp. 48-49. (Samsung Electronics Award)
Yunho Park, Jonghyuk Gwon, and Youngjoo Lee*, “Area-efficient and high-speed binary divider architecture for bit-serial interfaces,” International SoC Design Conference (ISOCC), Jeju, Korea, Oct. 2016, pp. 310-311. (Selected as the most popular poster presentation)
Seokha Hwang and Youngjoo Lee*, “FPGA-based real-time lane detection for advanced driver assistance systems,” IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Jeju, Korea, Oct. 2016, pp. 218-219.
2015
Youngjoo Lee*, “Hardware optimizations of hard-decision ECC decoders for MLC NAND flash memories,” International SoC Design Conference (ISOCC), Gyeongju, Korea, Nov. 2015, pp. 133-134.
2014
Hoyoung Yoo, Youngjoo Lee, and In-Cheol Park*, “7.3Gb/s universal BCH encoder and decoder for SSD controllers,” IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Singapore, Singapore, Jan. 2014, pp. 37-38.
2013
Youngjoo Lee, Hoyoung Yoo, and In-Cheol Park*, “A 3Gb/s 2.09mm2 100b error-correcting BCH decoder in 0.13um CMOS process,” IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Yokohama, Japan, Jan. 2013, pp. 85-86.
2012
Hoyoung Yoo, Youngjoo Lee, and In-Cheol Park*, “Low-latency area-efficient decoding architecture for shortened Reed-Solomon codes,” International SoC Design Conference (ISOCC), Jeju, Korea, Nov. 2012, pp. 223-226.
Youngjoo Lee, Hoyoung Yoo, and In-Cheol Park*, “Small-area parallel syndrome calculation for strong BCH decoding,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Kyoto, Japan, Mar. 2012, pp. 1609-1612.
Youngjoo Lee, Hoyoung Yoo, Injae Yoo, and In-Cheol Park*, “6.4Gb/s multi- threaded BCH encoder and decoder for multi-channel SSD controllers,” IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, Feb. 2012, pp. 426-427.
2011
Youngjoo Lee, Jinook Song, and In-Cheol Park*, “Statistical modeling of capacitor mismatch effects for successive approximation register ADCs,” International SoC Design Conference (ISOCC), Jeju, Korea, Nov. 2011, pp. 302-305.
2010
Youngjoo Lee, Goeun Lim, and In-Cheol Park*, “Low-complex BPSK demodulation using absolute comparison,” IEEE International Conference on Electronics, Circuits and Systems (ICECS), Athens, Greece, Dec. 2010, pp. 1080-1083.
Youngjoo Lee and In-Cheol Park*, “Capacitor array structure and switching control scheme to reduce capacitor mismatch effects for SAR analog-to-digital converters,” IEEE International Symposium on Circuits and Systems (ISCAS), Paris, France, May 2010, pp. 1464-1467.
2009
Tae-Hwan Kim, Young-Joo Lee, and In-Cheol Park*, “A scalable and programmable sound synthesizer,” IEEE International Symposium on Circuits and Systems (ISCAS), Taipei, Taiwan, May 2009, pp. 1855-1858.
2008
Tae-Hwan Kim, Young-Joo Lee, and In-Cheol Park*, “Design of a scalable sound synthesizer,” International SoC Design Conference (ISOCC), Busan, Korea, Nov. 2008, pp. 60-61.
Domestic Conference Proceedings
2023
류은지, 이영주*, "혼합 길이 벡터 프루닝을 이용한 새로운 희소성 인식 transformer 가속기," 반도체공학회 종합학술대회, Dec. 2023.
최정원, 이영주*, "스토리지 용 NB-LDPC 복호기 하드웨어 개발," 반도체공학회 종합학술대회, Dec. 2023. (우수논문상)
이형택, 문승식, 이영주, 최준일*, "다중 그룹 멀티캐스팅 환경에서의 실질적인 지능형 반사 표면 활용 기법," 제 33회 통신정보 합동학술대회 (JCCI), Apr. 2023.
윤상부, 이영주*, "5G software 기지국을 위한 polar decoder의 고속 병렬처리," 제 33회 통신정보 합동학술대회 (JCCI), Apr. 2023.
2022
윤상부, 최정원, 김석기, 김남일, 이영주*, "소프트웨어 모뎀을 위한 LDPC 부/복호기의 병렬화," 반도체공학회 하계학술대회, July 2022.
민정규, 이영주*, "오픈 ISA 기반 벡터 프로세서의 희소행렬 압축 방식 분석," 대한전자공학회 하계종합학술대회, June 2022.
심도은, 박군호, 이영주*, "Low-precision posit과 floating-point arithmetic의 정밀도 및 하드웨어 복잡도 분석," 대한전자공학회 하계종합학술대회, June 2022.
문승식, 이형택, 최준일, 이영주*, "저지연 IRS 통신을 위한 그룹 기반 위상 최적화 기법," 제 32회 통신정보 합동학술대회 (JCCI), Apr. 2022.
감동윤, 이영주*, "Complexity-latency tradeoff for 5G SCL polar decoder architecture," 제 29회 한국반도체학술대회, Jan. 2022.
홍승우, 이영주*, "압축 센싱 복원을 위한 최소 제곱 솔버 구조," 제 29회 한국반도체학술대회, Jan. 2022.
변영훈, 이영주*, "64bit RISC-V 기반 칩 검증 플랫폼 설계," 제 29회 한국반도체학술대회, Jan. 2022.
2021
임동영, 이영주*, "On-device 채널 구현을 위한 고효율 양자화된 가우시안 난수 생성기," 반도체공학회 하계학술대회, July 2021. (우수논문상)
박군호, 궁재하, 김영석, 김미정, 이영주*, "저전력 딥러닝 가속기를 위한 근사 곱셈기 설계 프레임워크," 대한전자공학회 하계종합학술대회, July 2021. (네이버 논문상)
현진, 류동헌, 정강민, 이영주*, "VoiceID: 딥러닝 기반 음성인식을 통한 모바일 보안 솔루션," 대한전자공학회 하계종합학술대회, July 2021.
2020
문승식, 이영주*, "저지연 인체통신을 위한 동적 BCH 복호화 기법," 한국통신학회 추계종합학술발표회, Nov. 2020.
김창현, 감동윤, 박기윤, 김석기, 이영주*, "짧은 오류정정부호를 위한 OSD 알고리즘의 복잡도 분석," 대한전자공학회 하계종합학술대회, Aug. 2020. (네이버 논문상)
권혁준, 김미정, 김영석, 이영주*, "비정형 축구경기 영상의 실시간 분석을 위한 최적화 방법," 대한전자공학회 하계종합학술대회, Aug. 2020.
조준서, 이영주*, "캡슐 네트워크의 동적 라우팅을 위한 보상 프루닝 기법," 대한전자공학회 하계종합학술대회, Aug. 2020.
최정원, 이영주*, "인공 신경망 기반 고성능 LDPC 복호화 기법," 제 27회 한국반도체학술대회, Feb. 2020, pp. 538.
홍승우, 이영주*, "저지연 물리계층보안 기술을 위한 AES+Hash 통합 베이스밴드 시스템," 제 27회 한국반도체학술대회, Feb. 2020, pp. 979. (Best Poster Award)
2019
공병용*, 이영주, "멀티터치 감지기를 위한 SPI 컨트롤러 구조," 대한전자공학회 추계학술대회, Nov. 2019, pp. 129-131.
황석하, 문승식, 이영주*, "고속 무선 비디오 전송을 위한 interleaved BCH 시스템의 구현," 제 26회 한국반도체학술대회, Feb. 2019, pp. 321.
박군호, 윤형석, 이재호, 김영석, 김미정, 이영주*, "FPGA 기반의 고해상도 generative adversarial network 가속기 구현," 제 26회 한국반도체학술대회, Feb. 2019, pp. 825.
이재호, 윤형석, 박군호, 김영석, 김미정, 이영주*, "Super resolution generative adversarial network (SRGAN) 모델 경량화," 제 26회 한국반도체학술대회, Feb. 2019, pp. 826. (Best Poster Award)
감동윤, 이영주*, "Performance analysis and optimization of low-latency SC polar decoder," 한국통신학회 동계종합학술발표회, Jan. 2019, pp. 334-335.
2018
문승식, 김인수, 감동윤, 지동우, 최준일, 이영주*, “Massive MIMO 시스템을 위한 통합 심볼 검출기 설계,” 반도체공학회 종합학술대회, Dec. 2018.
최소연, 이영주, 유호영*, “BCH 부호를 위한 저전력 에너지 키-방정식 풀이 알고리즘,” 대한전자공학회 SoC 학술대회, May 2018.
김현규, 이영주, 유호영*, “RS 부호를 위한 저면적 신드롬 연산기," 대한전자공학회 SoC 학술대회, May 2018.
문승식, 최준일, 이영주*, “Massive MIMO용 심볼 검출기 HW의 성능 분석," 제 28회 통신정보 합동학술대회 (JCCI), May 2018, pp. 148-149.
문승식, 황석하, 이영주*, "On the accurate UBER calculation of FEC system with guaranteed error correction capability," 제 25회 한국반도체학술대회, Feb. 2018, pp. 789.
조준서, 변영훈, 이영주*, "인공 신경망의 데이터 정밀도와 인식률 간의 관계에 대한 연구," 제 25회 한국반도체학술대회, Feb. 2018, pp. 792.
2017
유호영, 이영주*, "건강한 모바일 스토리지를 위한 초저전력 오류정정 시스템," 대한전자공학회 하계종합학술대회, June 2017, pp. 1555-1558. (invited)
박인호, 이영주, 김지훈*, “근사 연산을 활용한 고속 연속 제거 기반 극 부호 복호기,” 제 24회 한국반도체학술대회, Feb. 2017, pp. 382.
이보경, 김기주, 이영주*, “인공 신경망을 이용한 차선 곡률 검출에 대한 연구,” 제 24회 한국반도체학술대회, Feb. 2017, pp. 101.
권종혁, 박윤호, 이영주*, “Area-efficient serial-in/serial-out binary modulo operator,” 제 24회 한국반도체학술대회, Feb. 2017, pp. 382.
황석하, 이영주*, “Area-efficient SC FFT with CORDIC-based approximations,” 제 24회 한국반도체학술대회, Feb. 2017, pp. 77. (Best Session Award)
안중근, 이영주*, “실시간 차선 검출을 위한 카메라 왜곡 보정의 최적화,” 제 24회 한국반도체학술대회, Feb. 2017, pp. 383. (Best Poster Award)
2016
황석하, 김수환, 김지훈, 어진, 우동현, 정세환, 이영주*, “차량용 시스템의 안개제거 영상처리를 위한 선예도기반 성능평가 방법,” 대한전자공학회 하계종합학술대회, June 2016, pp. 995-998.
윤길수, 이영주, 하정석*, “오류 정정 시스템을 위한 양자화된 가우시안 잡음 발생기,” 제 26회 통신정보 합동학술대회 (JCCI), Apr. 2016, pp. 41-42.
윤길수, 이영주, 하정석*, “FPGA implementation of quantized Gaussian noise channel for error-control system verification,” 제 23회 한국반도체학술대회, Feb. 2016, pp. 48.
박윤호, 이영주*, “Low-latency error-detection and synchronization architecture for high-speed RFID based train protection systems,” 제 23회 한국반도체학술대회, Feb. 2016, pp. 46.
박민구, 유경호, 이영주*, “Diagonally-reinforced lane detection scheme for high-performance ADAS,” 제 23회 한국반도체학술대회, Feb. 2016, pp. 255.
2013
이영주, 유호영, 정재환, 조지혁, 박인철*, “2차원 부호화 기법을 이용한 7.34Gb/s (70528, 65536) 연접-BCH 부호화기,” 대한전자공학회 하계종합학술대회, July 2013, pp.17-20.
2012
유호영, 이영주, 박인철*, “고성능 솔리드 스테이트 드라이브를 위한 비씨에이치 복호기와 리드 솔로몬 복호기의 복잡도 비교,” 대한전자공학회 하계종합학술대회, June 2012, 705-708.
2011
유호영, 이영주, 박인철*, “다양한 저면적 고성능 리드 솔로몬 복호기를 위한 생성기의 구현,” 대한전자공학회 추계학술대회, Nov. 2011, pp. 450-453.
2010
이영주, 송진욱, 김봉진, 김은찬, 임고은, 박인철*, “온칩 디버그 시스템을 적용한 효율적인 임베디드 환경의 구현,” 대한전자공학회 하계종합학술대회, June 2010, pp. 630-633.
이영주, 김태환, 박강우, 임고은, 박인철*, “A fully-integrated reader system for mobile UHF RFID,” 제 17회 한국반도체학술대회, Feb. 2010, pp. 324-326.