Full List of Publications

in Chronological Order

2024

HeteroSwitch: Characterizing and Taming System-Induced Data Heterogeneity in Federated Learning

Gyudong Kim, Mehdi Ghasemi, Soroush Heidari, Seungryong Kim, Young Geun Kim, Sarma Vrudhula, Carole-Jean Wu. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2024

Generative AI Beyond LLMs: System Implications of Multi-Modal Generation

Alicia Golden, Samuel Hsia, Fei Sun, Bilge Acun, Basil Hosmer, Yejin Lee, Zachary DeVito, Jeff Johnson, Gu-Yeon Wei, David Brooks, Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2024.

2023

Mark Zhao, Satadru Pan, Niket Agarwal, Zhaoduo Wen, David Xu, Anand Natarajan, Pavan Kumar, Shiva Shankar, Ritesh Tijoriwala, Karan Asher, Hao Wu, Aarti Basant, Daniel Ford, Delia David, Nezih Yigitbasi, Pratap Singh, Carole-Jean Wu, Christos Kozyrakis.  In Proceedings of the USENIX Annual Technical Conference, 2023.

RecD: Deduplication for End-to-End Deep Learning Recommendation Model Training Infrastructure

Mark Zhao, Dhruv Choudhary, Devashish Tyagi, Ajay Somani, Max Kaplan, Sung-Han Lin, Sarunya Pumma, Jongsoo Park, Aarti Basant, Niket Agarwal, Carole-Jean Wu, Christos Kozyrakis. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2023

Carbon Explorer: A Holistic Approach for Designing Carbon Aware Datacenters

Bilge Acun, Benjamin C. Lee, Fiodar Kazhamiaka, Kiwan Maeng, Manoj Chakkaravarthy, Udit Gupta, David Brooks, Carole-Jean Wu. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2023. [code]

IEEE Micro Top Picks Honorable Mention 

MP-Rec: Hardware-Software Co-Design to Enable Multi-Path Recommendation 

Samuel Hsia, Udit Gupta, Bilge Acun, Newsha Ardalani, Pan Zhong, Gu-Yeon Wei, David Brooks, Carole-Jean Wu. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2023.

IEEE Micro Top Picks Honorable Mention 

2022

Understanding the Power of Evolutionary Computation for GPU Code Optimization

Jhe-Yu Liou, Muaaz Awan, Steven Hofmeyr, Stephanie Forrest, Carole-Jean Wu.  In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2022

FedGPO: Characterizing and Designing for Efficient Federated Learning using Heterogeneity-Aware Global Parameter Optimization

Young Geun Kim and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2022. 

Towards Fair Federated Recommendation Learning: Characterizing the Inter-Dependence of System and Data Heterogeneity

Kiwan Maeng, Haiyu Lu, Luca Melis, John Nguyen, Mike Rabbat, Carole-Jean Wu. In Proceedings of the ACM Conference on Recommender Systems (RecSys), 2022.

Carbon Dependencies in Datacenter Design and Management 

Bilge Acun, Benjamin C. Lee, Fiodar Kazhamiaka, Aditya Sundarrajan, Manoj Chakkaravarthy, Kiwan Maeng, David Brooks, Carole-Jean Wu. In Proceedings of the Workshop on Sustainable Computer Systems Design and Implementation (HotCarbon), 2022.

ACT: Designing Sustainable Computer Systems with an Architectural Carbon Modeling Tool

Udit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu. In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), 2022.

Understanding Data Storage and Ingestion for Large-Scale Deep Recommendation Model Training

Mark Zhao, Niket Agarwal, Aarti Basant, Bugra Gedik, Satadru Pan, Mustafa Ozdal, Rakesh Komuravelli, Jerry Pan, Tianshu Bao, Haowei Lu, Sundaram Narayanan, Jack Langman, Kevin Wilfong, Harsha Rastogi, Carole-Jean Wu, Christos Kozyrakis, Parik Pol. In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), 2022.

Sustainable AI: Environmental Implications, Challenges and Opportunities

Carole-Jean Wu, Ramya Raghavendra, Udit Gupta, Bilge Acun, Newsha Ardalani, Kiwan Maeng, Fiona Aga Behram, James Huang, Charles Bai, Michael Gschwind, Anurag Gupta, Myle Ott, Anastasia Melnikov, Salvatore Candido, David Brooks, Geeta Chauhan, Benjamin Lee, Hsien-Hsin S. Lee, Bugra Akyildiz, Maximilian Balandat, Joe Spisak, Ravi Jain, Mike Rabbat, Kim Hazelwood. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2022

Papaya: Practical, Private, and Scalable Federated Learning

Dzmitry Huba, John Nguyen, Kshitiz Malik, Ruiyu Zhu, Mike Rabbat, Ashkan Yousefpour, Carole-Jean Wu, Hongyuan Zhan, Pavel Ustinov, Harish Srinivas, Kaikai Wang, Anthony Shoumikhin, Jesik Min, Mani Malek. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2022. 

RecShard: Statistical Feature-Based Memory Optimization for Industry-Scale Neural Recommendation

Geet Sethi, Bilge Acun, Niket Agarwal, Christos Kozyrakis, Caroline Trippel, Carole-Jean Wu. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2022.

Hercules: Heterogeneity-Aware Inference Serving for At-Scale Personalized Recommendation

Liu Ke, Udit Gupta, Mark Hempstead, Carole-Jean Wu, Hsien-Hsin Lee, Xuan Zhang. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2022

SecNDP: Secure Near-Data Processing with Untrusted Memory

W. Xiong, L. Ke, D. Jankov, M. Kounavis, X. Wang, E. Northup, A. Wang, B. Acun, C.-J. Wu, P. Tang, E. Suh, X. Zhang, H.-S. Lee. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2022. 

On Sampling Collaborative Filtering Datasets

Noveen Sachdeva, Carole-Jean Wu, and Julian McAuley. In Proceedings of the ACM Conference on Web Search and Data Mining (WSDM), 2022. 

2021

AutoFL: Enabling Heterogeneity-Aware Energy Efficient Federated Learning

Y. Kim and C.-J. Wu. In Proceedings of the IEEE/ACM Symposium on Microarchitecture (MICRO), 2021. 

RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance

U. Gupta, S. Hsia, J. Zhang, M. Wilkening, J. Pombra, H.-S. Lee, G. Wei, C.-J. Wu, and D. Brooks. In Proceedings of the IEEE/ACM Symposium on Microarchitecture (MICRO), 2021. 

Low-Precision Hardware Architectures Meet Recommendation Model Inference at Scale

Z. Deng, J. Park, P. Tang, H. Liu, J. Yang, H. Yuen, J. Huang, D. Khudia, X. Wei, E. Wen, D. Choudhary, R. Krishnamoorthi, C.-J. Wu, N. Satish, C. Kim, M. Naumov, S. Nagshineh, M. Smelyanskiy. In Proceedings of the IEEE MICRO, 2021.

Energy-Efficient Mapping for A Network of DNN Models at the Edge

M. Ghasemi, S. Heidari, Y. G. Kim, A. Lamb, C.-J. Wu, S. Vrudhula. In Proceedings of the IEEE International Conference on Smart Computing, 2021.

TT-Rec: Tensor Train Compression for Deep Learning Recommendation Model Embeddings

C. Yin, B. Acun, X. Liu, and C.-J. Wu. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2021. 

CPR: Understanding and Improving Failure Tolerant Training for Deep Learning Recommendation with Partial Recovery

K. Maeng, S. Bharuka, I. Gao, M. Jeffrey, V. Saraph, B.-Y. Su, C. Trippel, J. Yang, M. Rabbat, B. Lucia, and C.-J. Wu. In Proceedings of the Conference on Machine Learning and Systems (MLSys), 2021. 

The Vision Behind MLPerf Inference: Understanding AI Inference Performance

V. Reddi, C. Cheng, D. Kanter, P. Mattson, G. Schmuelling, C.-J. Wu. In Proceedings of the IEEE MICRO, 2021.

Understanding Capacity-Driven Scale-Out Neural Recommendation Inference

M. Lui, Y. Yetim, O. Ozkan, Z. Zhao, S.-Y. Tsai, C.-J. Wu. M. Hempstead. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2021.

RecSSD: Near Data Processing for Solid State Drive Based Recommendation Inference

M. Wilkening, U. Gupta, S. Hsia, C. Trippel, C.-J. Wu, D. Brooks, G.-Y. Wei. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2021.

Chasing Carbon: The Elusive Environmental Footprint of Computing

U. Gupta, Y. Kim, S. Lee, J. Tse, H.-H. Lee, G. Wei, D. Brooks, and C.-J. Wu. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2021. 

Understanding Training Efficiency of Deep Learning Recommendation Models at Scale

B. Acun, M. Murphy, X. Wang, J. Nie, C.-J. Wu, and K. Hazelwood. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2021. 

Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging

V. Kodukula, S. Katrawala, B. Jones, C.-J. Wu, R. LiKamwa. In Proceedings of Sensors, 2021.


2020

Exploiting Parallelism Opportunities with Deep Learning Frameworks

Y. Wang, C.-J. Wu, X. Wang, K. Hazelwood, and D. Brooks. To Appear in Proceedings of the ACM Transactions on Architecture and Code Optimization (TACO), 2020.

GEVO: GPU Code Optimization Using Evolutionary Computation

Jhe-Yu Liou, Xiaodong Wang, Stephanie Forrest, and Carole-Jean Wu. To Appear in Proceedings of the ACM Transactions on Architecture and Code Optimization (TACO), 2020.

Cross-Stack Workload Characterization of Deep Recommendation Systems

S. Hsia, U. Gupta, M. Wilkening, C.-J. Wu, G.-Y. Wei, and D. Brooks. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2020. 

AutoScale: Energy Efficiency Optimization for Stochastic Edge Inference Using Reinforcement Learning

Y. Kim and C.-J. Wu. In Proceedings of the IEEE International Symposium on Microarchitecture (MICRO), Athens, Greece, October 2020. 

DeepRecSys: A System for Optimizing End-to-end At-scale Neural Recommendation Inference

U. Gupta, S. Hsia, V. Saraph, X. Wang, B. Reagen, G.-Y. Wei, H.-S. Lee, D. Brooks, and C.-J. Wu. In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), Valencia, Spain, June 2020. 

RecNMP: Accelerating Personalized Recommendtion with Near-Memory Processing

L. Ke, U. Gupta, B. Cho, D. Brooks, V. Chandra, U. Diril, A. Firoozshahian, K. Hazelwood, B. Jia, H.-S. Lee, M. Li, B. Maher, D. Mudigere, M. Naumov, M. Schatz, M. Smelyanskiy, X. Wang, B. Reagen, C.-J. Wu, M. Hempstead, X. Zhang,  In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), Valencia, Spain, June 2020. 

MLPerf Inference Benchmark

V. Reddi, C. Cheng, D. Kanter, P. Mattson, G. Schmuelling, C.-J. Wu, B. Anderson, M. Breughe, M. Charlebois, W. Chou, R. Chukka, C. Coleman, S. Davis, P. Deng, G. Diamos, J. Duke, D. Fick, J. Gardner, I. Hubara, S. Idgunji, T. Jablin, J. Jiao, T. St. John, P. Kanwar, D. Lee, J. Liao, A. Lokhmotov, F. Massa, P. Meng, P. Micikevicius, C. Osborne, G. Pekhimenko, A. Rajan, D. Sequeira, A. Sirasao, F. Sun, H. Tang, M. Thomson, F. Wei, E. Wu, L. Xu, K. Yamada, B. Yu, G. Yuan, A. Zhong, P. Zhang, Y. Zhou. In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA), Valencia, Spain, June 2020. 

GEVO-ML: A Proposal for Optimizing ML Code with Evolutionary Computation

Jhe-Yu Liou, Xiaodong Wang, Stephanie Forrest, and Carole-Jean Wu. In Proceedings of the Genetic and Evolutionary Computation Conference Neuroevolution at Work (GECCO-NEvo@Work) , 2020.

Developing a Recommendation Benchmark for MLPerf Training and Inference

C.-J. Wu, R. Burke, E. Chi, J. Konstan, J. McAuley, Y. Raimond, H. Zhang. In CoRR abs/2003.07336, 2020.

MLPerf Training Benchmark 

P. Mattson, C. Cheng, C. Coleman, G. Diamos, P. Micikevicius, D. Patterson, H. Tang, G.-Y. Wei, P. Ballis, V. Bittorf, D. Brooks, D. Chen, D. Dutta, U. Gupta, K. Hazelwood, A. Hock, X. Huang, B. Jia, D. Kang, N. Kumar, J. Liao, G. Ma, D. Narayanan, T. Oguntebi, G. Pekhimenko, L. Pentecost, V. Reddi, T. Robie, T. St. John, C.-J. Wu, L. Xu, C. Young, M. Zaharia. In Proceedings of the Conference on Machine Learning and Systems (MLSys), Austin TX, March 2020. 

The Architectural Implications of Facebook’s DNN-based Personalized Recommendation

U. Gupta, C.-J. Wu, X. Wang, M. Naumov, B. Reagen, D. Brooks, B. Cottel, K. Hazelwood, M. Hempstead, B. Jia, H.-H. Lee, A. Malevich, D. Mudigere, M. Smelyanskiy, L. Xiong, X. Zhang. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), San Diego CA, February 2020.  

MLPerf: An Industry Standard Benchmark Suite for Machine Learning Performance

P. Mattson, V. Reddi, C. Cheng, C. Coleman, G. Diamos, D. Kanter, P. Micikevicius, D. Patterson, G. Schmuelling, H. Tang, G.-Y. Wei, C.-J. Wu. In Proceedings of the IEEE MICRO, 2020.

Emerging Neural Workloads and Their Impact on Hardware

D. Brooks, M. M. Frank, T. Gokmen, U. Gupta, X. S. Hu, S. Jain, A. F. Laguna, M. T. Niemier, I. O'Connor, A. Raghunathan, A. Ranjan, D. Reis, J. R. Stevens, C.-J. Wu, X. Yin. In IEEE Design, Automation & Test in Europe (DATE), 2020.


2019

Uncovering Performance Opportunities by Relaxing Program Semantics of GPGPU Kernels

Jhe-Yu Liou, Stephanie Forrest, and Carole-Jean Wu. In Proceedings of the 24th ACM International Conference on Architectural Support for Programming Languages and Operating Systems Wild And Crazy Ideas Track (ASPLOS WACI), 2019.

Genetic Improvement of GPU Code

Jhe-Yu Liou, Stephanie Forrest, and Carole-Jean Wu. In Proceedings of the Annual Workshop on Genetic Improvement (GI) in conjunction with ICSE, 2019.

Received the 2019 ICSE Genetic Improvement on Software Best Paper Award

Understanding the Future of Energy Efficiency in Multi-Module GPUs

Akhil Arunkumar, Evgeny Bolotin, David Nellans, and Carole-Jean Wu. In Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture (HPCA), 2019.

Machine Learning at Facebook: Understanding Inference at the Edge

Carole-Jean Wu, David Brooks, Kevin Chen, Douglas Chen, Sy Choudhury, Marat Dukhan, Kim Hazelwood, Eldad Isaac, Yangqing Jia, Bill Jia, Tommer Leyvand, Hao Lu, Yang Lu, Lin Qiao, Brandon Reagen, Joe Spisak, Fei Sun, Andrew Tulloch, Peter Vajda, Xiaodong Wang, Yanghan Wang, Bram Wasti, Yiming Wu, Ran Xian, Sungjoo Yoo, Peizhao Zhang. In Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture (HPCA), 2019.


2018

Optimizing User Satisfaction of Mobile Workloads Subject to Various Sources of Uncertainties

Benjamine Gaudette, Carole-Jean Wu, and Sarma Vrudhula.  In Proceedings of the IEEE Transactions on Mobile Computing (TMC), 2018.

Configurable-ECC: Architecting a Flexible ECC Scheme to Support Different Sized Accesses in High Bandwidth Memory Systems

Hsing-Min Chen, Shin-Ying Lee, Trevor Mudge, Carole-Jean Wu, and Chaitali Chakrabarti. In Proceedings of the IEEE Transactions on Computers (TOC), 2018.

Designing a Temperature Model to Understand the Thermal Challenges of Portable Computing Platforms

Ying-Ju Yu and Carole-Jean Wu. In Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM), 2018.

Received the 2018 IEEE ITHERM Best Paper Award

DORA: Optimizing Smartphone Energy Efficiency and Web Browser Performance under Interference

Davesh Shingari, Akhil Arunkumar, Benjamin Gaudette, Sarma Vrudhula, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2018.

LATTE-CC: Latency Tolerance Aware Adaptive Cache Compression Management for Energy Efficient GPUs

Akhil Arunkumar, Shin-Ying Lee, Vignesh Soundararajan, and Carole-Jean Wu. In Proceedings of the 24th IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018.


2017

Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference

Shin-Ying Lee, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2017.

Understanding the Thermal Challenges of High-Performance Mobile Devices Through An Accurate and Detailed Platform Level Temperature Model

Ying-Ju Yu and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC) as a poster abstract, 2017.

MCM-GPU: Multi-Chip-Module GPUs for Continued Performance Scalability

Akhil Arunkumar, Evgeny Bolotin, Benjamin Cho, Ugljesa Milic, Eiman Ebrahimi, Oreste Villa, Aamer Jaleel, Carole-Jean Wu, and David Nellans. In Proceedings of the 44th International Symposium on Computer Architecture (ISCA), 2017.


2016

RATT-ECC: Rate Adaptive Two-Tiered Error Correction Codes for Reliable 3D Die-Stacked Memory

Hsing-Min Chen, Carole-Jean Wu, Trevor Mudge, and Chaitali Chakrabarti. In Proceedings of the ACM Transactions on Architecture and Code Optimization (TACO), June 2016.

Ctrl-C: Instruction-Aware Control Loop Based Adaptive Cache Bypassing for GPUs

Shin-Ying Lee and Carole-Jean Wu. In Proceedings of the IEEE International Conference on Computer Design (ICCD), 2016.

ID-Cache: Instruction and Memory Divergence Based Cache Management for GPUs

Akhil Arunkumar, Shin-Ying Lee, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2016.

Thermoelectric-based Sustainable Self-Cooling for Fine-Grained Processor Hot Spots

Soochan Lee, Dhinakaran Pandiyan, Jae-sun Seo, Patrick E. Phelan, and Carole-Jean Wu. In Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM), 2016.

Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems

Hsing-Min Chen, Supreet Jeloka, Akhil Arunkumar, David, Blauuw, Carole-Jean Wu, Trevor Mudge, and Chaitali Chakrabarti. In Proceedings of the IEEE Transactions on Computers (TC), April 2016.

Improving Smartphone User Experience by Balancing Performance and Energy with Probabilistic QoS Guarantee

Benjamin Gaudette, Carole-Jean Wu, and Sarma Vrudhula. In Proceedings of the 22nd IEEE International Symposium on High Performance Computer Architecture (HPCA), 2016.


2015

Characterization and Throttling-based Mitigation of Memory Interference for Heterogeneous Smartphones

Davesh Shingari, Akhil Arunkumar, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2015.

Hot Spot Cooling and Harvesting Central Processing Unit Waste Heat Using Thermoelectric Modules

Soochan Lee, Patrick E. Phelan, and Carole-Jean Wu. In Proceedings of the ASME Journal of Electronic Packaging (EP), 2015.

E-ECC: Low Power Erasure and Error Correction Schemes for Increasing Reliability of Commodity DRAM Systems

Hsing-Min Chen, Akhil Arunkumar, Carole-Jean Wu, Trevor Mudge, and Chaitali Chakrabarti. In Proceedings of the International Symposium on Memory Systems (MEMSYS), 2015.

Harvesting CPU Waste Heat through Pyroelectric Materials

Soochan Lee, Nishant Singh, Patrick E. Phelan, and Carole-Jean Wu. In Proceedings of the ASME International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems (interPACK), 2015.

CAWA: Coordinated Warp Scheduling and Cache Prioritization for Critical Warp Acceleration of GPGPU Workloads

Shin-Ying Lee, Akhil Arunkumar, and Carole-Jean Wu. In Proceedings of the 42nd International Symposium on Computer Architecture (ISCA), 2015.

A Study of Mobile Device Utilization

Cao Gao, Anthony Gutierrez, Madhav Rajan, Ronald Dreslinski, Trevor Mudge, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2015.


2014

Hot Spot Cooling and Harvesting CPU Waste Heat using Thermoelectric Modules

Soochan Lee, Patrick E. Phelan, and Carole-Jean Wu. In Proceedings of the ASME International Mechanical Engineering Congress and Exposition Conference (IMECE), 2014.

ReMAP: Reuse and Memory Access Cost Aware Eviction Policy for Last Level Cache Management

Akhil Arunkumar and Carole-Jean Wu. In Proceedings of the 32nd IEEE International Conference on Computer Design (ICCD), 2014.

Quantifying the Energy Cost of Data Movement for Emerging Smart Phone Workloads on Mobile Platforms

Dhinakaran Pandiyan and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2014.

CAWS: Criticality-Aware Warp Scheduling for GPGPU Workloads

Shin-Ying Lee and Carole-Jean Wu. In Proceedings of the 23rd International Conference on Parallel Architectures and Compilation Techniques (PACT), 2014.

Characterizing the Latency Hiding Ability of GPUs

Shin-Ying Lee and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) as a poster abstract, 2014.

Quantitative Analysis of Control Flow Checking Mechanisms for Soft Errors

Aviral Shrivastava, Abhishek Rhisheekesan, Reiley Jeyapaul, and Carole-Jean Wu. In Proceedings of the 51st Annual Design Automation Conference (DAC), 2014.

STEAM: A Smart Temperature and Energy Aware Multicore Controller

Vinay Hanumaiah, Digant Desai, Benjamin Gaudette, Carole-Jean Wu, and Sarma Vrudhula. In Proceedings of the ACM Transactions on Embedded Computing Systems (TECS), 2014.


2013

Performance, Energy Characterizations and Architectural Implications of an Emerging Mobile Platform Benchmark Suite — MobileBench

Dhinakaran Pandiyan, Shin-Ying Lee, and Carole-Jean Wu. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2013.

Architectural Thermal Energy Harvesting Opportunities for Sustainable Computing

Carole-Jean Wu. In Proceedings of the IEEE Computer Architecture Letter (CAL), 2013.

Selected for Best of Computer Architecture Letters (CAL) 2014.

Presented at the IEEE International Symposium on High Performance Computer Architecture (HPCA) — Best of CAL Session, 2015. 


2012 and Before

Dynamic Techniques for Mitigating Inter- and Intra-Application Cache Interference

Carole-Jean Wu. PhD Dissertation, 2012.

SHiP: Signature-Based Hit Predictor for High Performance Caching

Carole-Jean Wu, Aamer Jaleel, Will Hasenplaugh, Margaret Martonosi, Simon Steely Jr., and Joel Emer. In Proceedings of the 44th International Symposium on Microarchitecture (MICRO). December 2011.

PACMan: Prefetch-Aware Cache Management for High Performance Caching

Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon Steely Jr., and Joel Emer. In Proceedings of the 44th International Symposium on Microarchitecture (MICRO). December 2011.

Adaptive Timekeeping Replacement: Fine-Grained Capacity Management for Shared CMP Caches

Carole-Jean Wu and Margaret Martonosi. In Proceedings of ACM Transactions on Architecture and Code Optimization (TACO) Vol. 8, No. 1, Article 3, Publication date: February 2011.

Characterization and Dynamic Mitigation of Intra-Application Cache Interference

Carole-Jean Wu, Margaret Martonosi. In Proceedings of 2011 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). Best Paper Award Nomination

A Comparison of Capacity Management Schemes for Shared CMP Caches

Carole-Jean Wu, Margaret Martonosi. In Proceedings of the 7th Annual Workshop on Duplicating, Deconstructing, and Debunking (WDDD) in conjunction with ISCA-35, June 2008.