UVM ( Universal Verification Methodolog)

UVM 1.0がリリースされました => Accellera

詳細については、ブログ:Verification Engineerの戯言のThanks UVM を見てください。

UVM 1.0の例題をModelSim AE 6.6cでシミュレーションしてみました(2011.2.28)

ブログ:Verification Engineerの戯言、ModelSim 6,6c Altera Edition & UVM 1.0.1 p0 を参照してください。

UVM 1.0までの経緯

UVM 1.0 Reference ImplementationとUser Guideがダウンロードできるようになりました(2011.3.1)

UVM 1.0 Class Reference Manualがダウンロードできるようになりました(2011.2.27)

UVM 1.0がリリースされました(2011.2.22)

UVM 1.0がAccelleraで正式承認されました(2011.2.19)

UVM ML(Multi Language)がリリースされました(December 15, 2010)

Simple perl based UCV buiderというツールがリリースされました (2010.7.22)

UVM本、A Practical Guide to Adopting the Universal Verification Methodology (UVM) がリリースされました (2010.7.21)

UVM Container - Easier DUT to TB connectionsがリリースされました(2010.5.24)

UVM Sequence Layering - Easier Testsがリリースされました(2010.5.18)

A Register Package for UVM - uvm_register-2.0 Releaseがリリースされました(2010.5.18)

UVM World が立ち上がりました(2010.5.17)。

2010.5.17にAccelleraは、UVM EA (Early Adaptor)をリリースしました。

2009.9.30にAccelleraがVIPに関するアナウンスをしました。

このアナウンスにより、AccelleraはCommon Base Class Libraryと検証メソドロジを開発するとしました。

Accellera Members Approve VIP Standard Best Practices Guide, Continue Improving EDA Verification and Interoperability

Accelleraが2010.Q1にUVM(Universal Verification Methodology)のライブラリを公開するそうです。

このアナウンスによるUVMの最初のバージョンは、OVM 2.0.3ベースになるようです(2009/12/16)。

VIP‐TSC Standardization Update

AccelleraがOVM/VMM Interoperability KitのOfficial Release 1.0を公開しています(2010/1)。

このキットは、OVM(2.0.x)とVMM(1.1)のおける相互利用可能なライブラリを提供するにすぎません。

ドキュメント : Verification Intellectual Property (VIP) Recommended Practices

ライブラリ : OVM/VMM Interoperability Kit Offical Release 1.0 (Source Forgeのダウンロード)

OVM2VMM-1.1 (OVM Worldのダウンロード)

OVM/VMM Interoperability Kit Offical Release 1.0は、ModelSim AE 6.5bで利用可能だと思います。

(VMM 1.1.1aとOVM 2.0.2がModelSim AE 6.5bで動作するので。。。)

UVM EA(Early Adaptor)の例題をModelSim AE 6.5bでシミュレーションしてみました(2010.6.14)

例題24中、エラーが10(randomize関連が8で、`uvm_object_utils_beginマクロが2つ)

`uvm_object_utils_beginマクロのエラーは、OVM 2.0.2では無かったが?

basic_examples -> ep

basic_examples -> module

basic_examples -> pkg

callbacks

configuration -> automated

configuration -> manual

factory

=> randomize featureがないというエラーになる

hello_world -> uvm

=> `uvm_object_utils_beginマクロの定義がないというエラーになる

objections

phases -> base

=> randomize featureがないというエラーになる

phases -> run_test

=> randomize featureがないというエラーになる

phases -> stop_request

=> randomize featureがないというエラーになる

sequence -> basic_read_write_sequence

sequence -> simple

=> `uvm_object_utils_beginマクロの定義がないというエラーになる

rivial

uvm_examples -> mechanics -> hierarchy

=> randomize featureがないというエラーになる

uvm_examples -> mechanics -> interface

uvm_examples -> mechanics -> producer_consumer

=> randomize featureがないというエラーになる

uvm_examples -> uvm_tlm -> bidir

uvm_examples -> uvm_tlm -> fifo

uvm_tlm -> hierarchy

=> randomize featureがないというエラーになる

uvm_tlm -> producer_consumer

uvm_tlm -> uvm_tlm_fifo

xbus -> examples

=> testbench generation featureがないというエラーになる

hello_worldの例題では、packet.sv、

sequence/simpleの例題ででは、simple_item.sv、

`include "uvm_macros.svh"を最初に追加すると、

`uvm_object_utils_beginマクロの定義がないというエラーはなくなる。