SystemVerilog メソドロジ

SystemVerilog によるメソドロジは、UVMに統一されました。

UVM : ( Universal Verification Methodolog) <= UVMについては、クリックしてください。

UVMに統一される前は、次の2つ(VMMとOVM)がありました。

SynopsysのJanick Bergeronさんの開発したRVM(Reference Verification Methodology)をベースに SystemVerilog に対応したもの。

SynopsysとARMとの共同開発。

最新のバージョンは、1.2.1。VMM 1.2.Xは、VMM 1.1から大きく変わっている特に、TLM-2ベースになったところ。

一つ前に、VMM 1.1のMentorのQuestaSimとCadenceのIUSで動作するバージョンは、OVMのダウンロードにあります(ただし、ユーザー登録必要)

発表当時は、VCSのみ動作可能であったが、その後、OVMがオープンソースで公開されたため、オープンソースになった。

VMM書籍 & Video

VMM 1.0の内容に関する書籍は、Springerが出版しています。また、日本語版はCQ出版社が出版しています。

なお、最新バージョンの VMM 1.2.X に関する書籍は、現時点(2010.1.9)、出版されていません。

ブログ(Verification Engineerの戯言) : VMM

ModelSim XE 6.4b でVMMを利用するには、こちら。なお、ModelSIm AE 6.5bでも利用可能です。

VMM関連サイト

MentorとCadenceの共同開発。

MentorのAVM(Advanced Verification Methodorogy)をベースに、CadenceのeRM(e Reuse Methodorogy)を SystemVerilog のメソドロジーとして作り上げたモノ。

現在のバージョンは、2.1.1。

Cadenceは、SystemCおよびeに拡張したOVM-Multi Language 2.0.1をリリースしています。

VCS Release-C 2009-06では、OVM 2.0.1が動くようになったようである

OVM 1.x の内容に関する書籍としては、 “Step-by-Step Functional Verification with SystemVerilog and OVM” があります。

なお、最新バージョンの OVM 2.1.X に関する書籍は、現時点(2010.1.9)、出版されていません。

DVCon2009で限定配布されたペーパーバック版のOVM cookbookはどうやら、OVM 2.0.1 対応です。

ハードカバー版は、Springerが出版しています。

OVM Worldで、OVM cookbookのPDF例題で公開されています(2010.09.08)

OVM / VMM 1.1 Interoperability Kitを使えば、OVMベースの環境にVMMを取り込むことができます。加えて、OVMにVMMのRALを取り込む例もあります。

ブログ(Verification Engineerの戯言) : OVM

ModelSim AE 6.4a/6.5bでOVMを利用するには、こちら