Publications

Conference Papers (Selected)

50.

H. Lee, D. Seo, Y. Woo, Y. Lee, I. Lee, and Y. Chae,

"A 23.9 µW 13.6-Bit Period Modulation-Based Capacitance-to-Digital Converter with Dynamic Current Mirror

Front-End Achieving Capacitor Range of 1 to 68 pF"

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2023 

49.

D. Seo, M. Cho, M. Jeong, G. Shin, I. Lee, Y. Lee

“A 0.24 mmHg (1σ) Resolution Half-Bridge-to-Digital Converter with RC Delay-Based Pressure Sensing and Energy-Efficient Bit-Level Oversampling Techniques for Implantable Miniature Systems”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2022

48.

G. Shin, M. Jeong, D. Seo, S. Han, Y. Lee,

A Variation-Tolerant Differential Contention-Free Pulsed Latch with Wide Voltage Scalability

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2022

47.

S. Kim*, C. Im*, J. Lee, S. Jeong, J. Kim, Y. Lee, (*: Equally Contributed Authors)

“Logic-embedded Physically Unclonable Functions for Synthesizable and Periphery-free Implementation for Low Area and Design Cost IoT Security”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2022

46.

J. Lee, Y. Lee,

“A current-integrated differential NAND-structured PUF for stable and V/T variation-tolerant low-cost IoT security”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2021

45.

G. Shin, D. Seo, J. Kim, J. Rhe, E. Lee, S. Kim, S. Jeong, J H. Ko, Y. Lee,

“A Charge-Domain Computation-In-Memory Macro with Versatile All-Around-Wire-Capacitor for Variable-Precision Computation and Array-Embedded DA/AD Conversions”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2021

44.

G. Shin, E. Lee, J. Lee, Y. Lee, Y. Lee,

“A Redundancy Eliminated Flip-flop in 28nm for Low-Voltage Low-Power Applications”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2020

43.

G. Shin, E. Lee, J. Lee, Y. Lee, Y. Lee,

“A Static Contention-Free Differential Flip-Flop in 28nm for Low-Voltage, Low-Power Applications”

Custom Integrated Circuits Conference (CICC), Mar. 2020

42.

J. Park, H. Gi, S, Jung, S. J. Kim, Y. Lee,

“A Conversion-Ratio-Insensitive High Efficiency Soft-Charging-Based SC DC-DC Boost Converter for Energy Harvesting in Miniature Sensor Systems”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2019

41.

B. Khan, H. Saif, Y. Lee,

“A Piezoelectric Energy Harvesting Interface for Irregular High Voltage Input with Partial Electric Charge Extraction with 3.9× Extraction Improvement”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2019 

40.

J. Lee, M. Kim, G. Shin, Y. Lee,

“A 20F2 Area-Efficient Differential NAND-Structured Physically Unclonable Function for Low-Cost IoT Security”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2019

39.

H. Saif, B. Khan, Y. Lee,

“A 17V-to-45V Input 25µW-to-10mW Output Power, 90.2%-Peak-Efficiency SC DC-DC Converter with Recursive Output Connection for High-Voltage Energy Harvesting”

IEEE Custom Integrated Circuits Conference (CICC), Apr. 2019 

38.

H. Lee, E. Jang, H. Saif, Y. Lee, M. Kim, Y. Lee,

“A sub-nW Fully Integrated Switched-Capacitor Energy Harvester with Recursive Current Injection Topology”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2018 

37.

J. Lee, D. Lee, Y. Lee, Y. Lee,

“A 445F2 Leakage-Based Physically Unclonable Function with Lossless Stabilization through Remapping for IoT Security”

IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2018  

36.

H. Saif, Y. Lee, M. Kim, H. Lee, B. Khan, Y. Lee,

“A Wide Load and Voltage Range Switched-Capacitor DC-DC Converter with Load-Dependent Configurability for DVS Implementation in Miniature Sensors”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2017

35.

K. Lee, H. Kim, J. Yoon, H-S. Oh, B-H. Park, H. Park, Y. Lee,

“A High Efficiency Wide-Load-Range Asynchronous Boost Converter with Time-Based Dual-Mode Control for SSD Applications”

IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2016

34.

H. Kim, G. Kim, Y. Lee, Z. Foo, D. Sylvester, D. Blaauw, D. Wentzloff,

“A 10.6mm3 fully-integrated, wireless sensor node with 8GHz UWB transmitter”

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2015

33.

P. Pannuto, Y. Lee, Y-S. Kuo, Z. Foo, B. Kempke, G. Kim, R. Dreslinski, D. Blaauw, P. Dutta,

“MBus: An Ultra-Low Power Interconnect Bus for Next Generation Nanopower Systems”

ACM International Symposium on Computer Architecture (ISCA), Jun. 2015 

32.

Y.-S. Kuo, P. Pannuto, G. Kim, Z. Foo, I. Lee, B. Kempke, P. Dutta, D. Blaauw, Y. Lee

“MBus: A 17.5 pJ/bit/chip Portable Interconnect Bus for Millimeter-Scale Sensor Systems with 8 nW Standby Power”

IEEE Custom Integrated Circuits Conference (CICC), Sep. 2014 

31.

I. Lee, Y. Kim, S. Bang, G. Kim, H. Ha, Y.-P. Chen, D. Jeon, S. Jeong, W. Jung, M. H. Ghaed, Z. Foo, Y. Lee, J.-Y. Sim, D. Sylvester, D. Blaauw

“Circuit Techniques for Miniaturized Biomedical Sensors”

IEEE Custom Integrated Circuits Conference (CICC), Sep. 2014, invited 

30.

S. Oh, Y. Lee, J. Wang, Z. Foo, Y. Kim, D. Blaauw, D. Sylvester

“Dual-Slope Capacitance to Digital Converter Integrated in an Implantable Pressure Sensing System”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2014 

29.

A. Teran, M. Dejarld, J. Hwang, W. Lim, J. Wong, D. Blaauw, Y. Lee, J. Millunchick, J. Phillips,

“Indoor photovoltaic energy harvesting for mm-scale systems”

72nd Device Research Conference, Jun. 2014

28.

G. Kim, Y. Lee, Z. Foo, P. Pannuto, Y.-S. Kuo, B. Kempke, M. Ghaed, S. Bang, I. Lee, Y. Kim, S. Jeong, P. Dutta, D. Sylvester, D. Blaauw,

“A Millimeter-Scale Wireless Imaging System with Continuous Motion Detection and Energy Harvesting”

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2014

27.

I. Lee, Y. Lee, D. Sylvester, D. Blaauw,

“Low Power Battery Supervisory Circuit with Adaptive Battery Health Monitor”

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2014

26.

D. Blaauw, D. Sylvester, P. Dutta, Y. Lee, I. Lee, S. Bang, Y. Kim, G. Kim, P. Pannuto, Y.-S. Kuo, D. Yoon, W. Jung, Z. Foo, Y.-P. Chen, S. Oh, S. Jeong, M. Choi

“IoT Design Space Challenges: Circuits and Systems”

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2014, invited paper

25.

G. Kim, A. Wolfe, R. Bell, S. Bang, Y. Lee, I. Lee, Y. Kim, L. Hsu, M. Arias-Thode, B. Chadwick, D. Sylvester, D. Blaauw,

“Chip-On-Mud: Ultra-Low Power ARM-Based Oceanic Sensing System Powered by Small-Scale Benthic Microbial Fuel Cells”

IEEE International Symposium on Circuits and Systems (ISCAS), Jun. 2014, to appear

24.

K. Yang, D. Fick, M. Henry, Y. Lee, D. Blaauw, D. Sylvester,

“A 23Mb/s, 23pJ/bit Fully-Synthesized True Random Number Generator in 28nm and 65nm CMOS”

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2014 

23.

W. Jung, S. Oh, S. Bang, Y. Lee, D. Sylvester, D. Blaauw,

“3nW Fully Integrated Energy Harvester Based on Self-Oscillating Switched Capacitor DC-DC Converter”

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2014

22.

D. Jeon, Y.-P. Chen, Y. Lee, Y. Kim, Z. Foo, G. Kruger, H. Oral, O. Berenfeld, Z. Zhang, D. Blaauw, D. Sylvester,

“An Implantable 64nW ECG Monitoring Mixed-Signal SoC for Arrhythmia Diagnosis”

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2014

21.

Y.-P. Chen, Y. Lee, J.-Y. Sim, M. Alioto, D. Blaauw, D. Sylvester,

“45pW ESD clamp circuit for ultra-low power applications”

IEEE Custom Integrated Circuits Conference (CICC), Sep. 2013

20.

S. Bang, Y. Lee, I. Lee, Y. Kim, G. Kim, D. Blaauw, D. Sylvester,

“A Fully Integrated Switched-Capacitor Based PMU with Adaptive Energy Harvesting Technique for Ultra- Low Power Sensing Applications”

IEEE International Symposium on Circuits and Systems (ISCAS), May 2013

19.

J. Brown, K.-K. Huang, E. Ansari, R. Rogel, Y. Lee, D. Wentzloff,

"An Ultra-Low Power 9.8GHz Crystal-Less UWB Transceiver with Modem Integrated in 180nm BiCMOS"

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2013 

18.

Y. Lee, D. Sylvester, D. Blaauw,

"Circuits for Ultra-Low Power Millimeter-Scale Sensor Nodes”

46th Asilomar Conference on Signals, Systems and Computers (ASILOMAR), Nov. 2012, invited paper

17.

D. Blaauw, D. Sylvester, Y. Lee, S. Bang, I. Lee, Y. Kim, G. Kim, M. H. Ghead,

"From digital processors to analog building blocks: Enabling new applications through ultra-low voltage design”

IEEE Subthreshold Microelectronics Conference (SubVT), Oct. 2012

16.

G. Kim, Y. Lee, S. Bang, I. Lee, Y. Kim, D. Sylvester, D. Blaauw,

"A 695 pW Standby Power Optical Wake-up Receiver for Wireless Sensor Nodes"

IEEE Custom Integrated Circuits Conference (CICC), Sep. 2012

15.

Y. Kim, Y. Lee, D. Sylvester, D. Blaauw,

"SLC: Split-Control Level Converter for Dense and Stable Wide-Range Voltage Conversion"

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2012

14.

R. G. Dreslinski, D. Fick, B. Giridhar, G. Kim, S. Seo, M. Fojtik, S. Satpathy, Y. Lee, D. Kim, N. Liu, M. Wieckowski, G. Chen, T. Mudge, D. Sylvester, D. Blaauw,

"Centip3De: A 64-Core, 3D Stacked, Near-Threshold System"

ACM/IEEE HOTCHIPS: A Symposiumon High Performance Chips, Aug. 2012

13.

Y. Lee, Y. Kim, D. Yoon, D. Blaauw, D. Sylvester,

"Circuit and System Design Guidelines for Ultra-Low Power Sensor Nodes"

ACM/IEEE Design Automation Conference (DAC), Jun. 2012, invited paper

12.

I. Lee, S. Bang, Y. Lee, Y. Kim, G. Kim, D. Sylvester, D. Blaauw,

"A 635pW Battery Voltage Supervisory Circuit for Miniature Sensor Nodes"

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2012

11.

P. Pannuto, Y. Lee, B. Kempke, D. Sylvester, D. Blaauw, P. Dutta,

"Ultra-constrained sensor platform interfacing"

ACM/IEEE International Conference on Information Processing in Sensor Networks (IPSN), Apr. 2012

10.

Y. Lee, G. Kim, S. Bang, Y. Kim, I. Lee, P. Dutta, D. Sylvester, D. Blaauw,

"A Modular 1mm3 Die-Stacked Sensing Platform with Optical Communication and Multi-Modal Energy Harvesting"

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2012

9.

D. Fick, R. G. Dreslinski, B. Giridhar, G. Kim, S. Seo, M. Fojtik, S. Satpathy, Y. Lee, D. Kim, N. Liu, M. Wieckowski, G. Chen, T. Mudge, D. Sylvester, D. Blaauw,

“Centip3De: A 3930 DMIPS/W Configurable Near-Threshold 3D Stacked System With 64 ARM Cortex-M3 Cores”

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2012

8.

Y. Lee, D. Sylvester, D. Blaauw,

"Synchronization of Ultra-Low Power Wireless Sensor Nodes"

IEEE International Midwest Symposium on Circuit and Systems (MWSCAS), Aug. 2011, invited paper

7.

Y. Lee, B. Giridhar, Z. Foo, D. Sylvester, D. Blaauw,

“A 660pW Multi-stage Temperature Compensated Timer for Ultra-low Power Wireless Sensor Node Synchronization”

IEEE International Solid-State Circuit Conference (ISSCC), Feb. 2011

6.

Y. Lee, M.-T. Chen, J. Park, D. Sylvester, D. Blaauw,

“A 5.42nW/kb Retention Power Logic-Compatible Embedded DRAM with 2T Dual-Vt Gain Cell for Low Power Sensing Applications”

IEEE Asian Solid-State Circuit Conference (A-SSCC), Nov. 2010

5.

Y. Lee, G. Chen, S. Hanson, D. Sylvester, D. Blaauw,

“Ultra-low Power Circuit Techniques for a New Class of Sub-mm3 Sensor Nodes”

IEEE Custom Integrated Circuits Conference (CICC), Sep. 2010, invited paper

4.

D. Kim, Y. Lee, J. Cai, I. Lauer, L. Chang, S. J. Koester, D. Sylvester, D. Blaauw,

“Low Power Circuit Design Based on Heterojunction Tunneling Transistors (HETTs)”

ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), Aug. 2009 [Best Paper Award] 

3.

M. Seok, S. Hanson, Y.-S. Lin, Z. Foo, D. Kim, Y. Lee, N. Liu, D. Sylvester, D. Blaauw,

“Phoenix: an Ultra-Low Power Processor for Cubic Millimeter Sensor Systems”

ACM/IEEE Design Automation Conference (DAC), Jun. 2009 [DAC/ISSCC Student Design Contest]

2.

Y. Lee, M. Seok, S. Hanson, D. Blaauw, D. Sylvester,

“Standby Power Reduction Techniques for Ultra-Low Power Processors”

IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2008

1.

M. Seok, S. Hanson, Y.-S. Lin, Z. Foo, D. Kim, Y. Lee, N. Liu, D. Sylvester, D. Blaauw,

“The Phoenix Processor: A 30pW Platform for Sensor Applications”

IEEE Symposium on VLSI Circuits (SOVC), Jun. 2008