Publications

Journals

J10. Satwik Patnaik, Nimisha Limaye, and Ozgur Sinanoglu, "Hide-&-Seek: Seeking the (Un)-Hidden Key in Provably-Secure Logic Locking Techniques", IEEE Transactions on Information Forensics and Security (TIFS), 2022

J9. Nikhil Rangarajan, Johann Knechtel, Nimisha Limaye, Ozgur Sinanoglu, and Hussam Amrouch, "A Novel Attack Mode on Advanced Technology Nodes Exploiting Transistor Self-Heating", in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022

J8. Nimisha Limaye*, Satwik Patnaik*, and Ozgur Sinanoglu, "Valkyrie: Vulnerability Assessment Tool and Attack for Provably-Secure Logic Locking Techniques", IEEE Transactions on Information Forensics and Security (TIFS), 2022 (* Authors contributed equally)

J7. Julian Leonhard, Nimisha Limaye, Shadi Turk, Alhassan Sayed, Alan Rodrigo Diaz Rizo, Hassan Aboushady, Ozgur Sinanoglu, and Haralampos-G. Stratigopoulos, "Digitally-Assisted Mixed-Signal Circuit Security", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021

J6. Nimisha Limaye, Christian Wachsmann, Mohammed Nabeel, Mohammed Ashraf, Arun Kanuparthi, and Ozgur Sinanoglu "AntiDOTE: Protecting Debug against Outsourced Testing Entities", IEEE Transactions on Emerging Topics in Computing (TETC), 2021

J5. Nimisha Limaye, Nikhil Rangarajan, Satwik Patnaik, Ozgur Sinanoglu, and Kanad Basu, "PolyWorm: Leveraging Polymorphic Behavior to Implant Hardware Trojans", IEEE Transactions on Emerging Topics in Computing (TETC), 2021

J4. Abhrajit Sengupta*, Nimisha Limaye*, and Ozgur Sinanoglu, "Breaking CAS-Lock and Its Variants by Exploiting Structural Traces", IACR Transactions on Cryptographic Hardware and Embedded Systems, 2021 (* Authors contributed equally)

J3. Nimisha Limaye, Emmanouil Kalligeros, Nikolaos Karousos, Irene G. Karybali, and Ozgur Sinanoglu, "Thwarting All Logic Locking Attacks: Dishonest Oracle with Truly Random Logic Locking", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020

J2. Abhrajit Sengupta, Mohammed Nabeel, Nimisha Limaye, Mohammed Ashraf, and Ozgur Sinanoglu, "Truly Stripping Functionality for Logic Locking: A Fault-based Perspective", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020

J1. Lilas Alrahis, Muhammad Yasin, Nimisha Limaye, Hani Saleh, Baker Mohammad, Mahmoud Al-Qutayri, and Ozgur Sinanoglu, “ScanSAT: Unlocking Static and Dynamic Scan Obfuscation”, IEEE Transactions on Emerging Topics in Computing (TETC), 2019

Conferences

C5. Nimisha Limaye, Animesh B. Chowdhury, Christian Pilato, Mohammed T. M. Nabeel, Ozgur Sinanoglu, Siddharth Garg, and Ramesh Karri, "Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks", IEEE/ACM Design Automation Conference (DAC), 2021

C4. Nimisha Limaye, Satwik Patnaik, and Ozgur Sinanoglu, “Fa-SAT: Fault-aided SAT-based attack on Compound Logic Locking Techniques”, IEEE/ACM Design Automation Test in Europe Conference (DATE), 2021

C3. Nimisha Limaye and Ozgur Sinanoglu, “DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys”, IEEE/ACM Design Automation Test in Europe Conference (DATE), 2020

C2. Nimisha Limaye, Abhrajit Sengupta, Mohammed Nabeel, and Ozgur Sinanoglu, “Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access”, IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2019

C1. Nimisha Limaye, Muhammed Yasin, and Ozgur Sinanoglu, “Revisiting logic locking for reversible computing”, IEEE European Test Symposium (ETS), 2019

Posters

P2. Nimisha Limaye and Ozgur Sinanoglu, “DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys”, Network and Distributed System Security Symposium (NDSS), 2021

P1. Nimisha Limaye, Abhrajit Sengupta, Mohammed Nabeel, and Ozgur Sinanoglu, “Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access”, IEEE/ACM Design Automation Conference (DAC), 2019