We demonstrate a room‑temperature, high‑fidelity, binder‑free aqueous nanoimprint process that patterns pre‑crystallized anatase titanium dioxide (TiO2) nanoparticles into subwavelength gratings without any polymeric or sol-gel precursor matrix. A perfluoropolyether (PFPE) soft mold combined with transient UV‑induced surface energy modulation enables complete cavity filling and preserves line‑edge definition during demolding, providing a low‑shrinkage and scalable alternative to resin‑ or sol–gel‑based nanoimprint. The nanoparticle‑derived TiO2 gratings furnish broadband photonic scattering and diffraction‑assisted optical path lengthening, while subsequent Au nanoparticle (AuNP) decoration introduces localized surface plasmon resonances and near‑field hotspots that couple to grating modes, jointly intensifying ultraviolet field confinement and extending responsivity into the red and near‑infrared. The AuNP-decorated device achieves a responsivity of 9.24 A/W and a detectivity of 7.83 × 1012 Jones at 365 nm, along with more than 600-fold enhancement in responsivity at 740 nm compared to the pristine TiO2 device. This water‑based, additive‑minimal route avoids high‑temperature crystallization, reduces carbon contamination risk, and is compatible with temperature‑sensitive and large‑area substrates. These results establish a manufacturable nanoparticle‑derived photonic–plasmonic platform for high‑performance broadband oxide photodetectors.
本研究展示了一種室溫、高保真、無黏合劑的水性奈米壓印技術,此技術能夠將預結晶的銳鈦礦型二氧化鈦(TiO2)奈米粒子製成次波長光柵,且不需使用任何聚合物或溶膠-凝膠前驅體基質。透過全氟聚醚(PFPE)軟模具結合紫外光(UV)誘導的表面能量瞬態調控,實現了完整的腔體填充並在脫模過程中保持線邊界的精確性,提供了一種與樹脂或溶膠-凝膠基奈米壓印相比,低收縮且可擴展的替代方案。由奈米粒子衍生的TiO2光柵可產生寬頻光子散射及繞射輔助的光路延長,而隨後的金奈米粒子(AuNP)修飾則引入了局域表面電漿共振及與光柵模式耦合的近場熱點,共同強化紫外光場的限制並延伸響應範圍至紅光及近紅外光。修飾金奈米粒子的裝置在365 nm波長下實現了9.24 A/W的響應度和7.83 × 10¹² Jones的探測度,並且在740 nm波長下的響應度相比純TiO2裝置增強超過600倍。這種以水為基礎、添加劑極少的製程避免了高溫結晶化,降低了碳污染的風險,且兼容於溫度敏感及大面積基板。這些成果建立了一個光子-電漿子平台,可用於製作高性能寬頻光感測器。
This study presents the design, fabrication, and characterization of a UV/IR cut optical filter integrated with a surface diffuser featuring nanoimprinted pyramid structures, aimed at achieving uniform light distribution and minimizing angular sensitivity in optical systems. The key innovation lies in the nanoimprinted pyramid diffuser, which addresses the critical limitation of angular sensitivity inherent in interference-based multilayer filters. The nanoimprinted diffuser introduces deterministic scattering properties that ensure uniform light distribution, reduce angular sensitivity, and provide stable optical performance. The multilayer filter, composed of alternating SiO2 and TiO2 layers, was deposited using magnetron sputtering with carefully optimized parameters to achieve high transmittance in the visible range (400–700 nm) while effectively blocking ultraviolet (200–400 nm) and near-infrared (700–1100 nm) wavelengths. The final structure consisted of 29 layers, and the measured optical performance closely matched theoretical simulations. To enhance uniform light distribution and reduce the inherent angle dependence of interference-based filters, a surface diffuser was fabricated using nanoimprint lithography, replicating randomly arranged pyramid structures etched into silicon via anisotropic KOH wet etching. By varying etch times, diffuser structures with different scattering profiles were obtained, with the 6-minute etched sample exhibiting the highest haze value (92.38%) and the strongest diffusion capability. Additionally, surface modification with F13-TCS rendered the diffusers hydrophobic, with contact angles reaching a maximum of 124°, enhancing durability and self-cleaning capability. This refined approach offers a robust solution for improving optical system performance across various applications.
本研究設計並製作了一種結合奈米壓印金字塔結構擴散器的紫外線/紅外線截止濾光片,目的是實現光的均勻分布並降低角度敏感性。研究的創新點在於金字塔形擴散器,它有效解決了傳統多層濾光片因干涉效應導致的角度依賴問題。該擴散器能提供穩定的光學性能,確保光線分布均勻。
濾光片由二氧化矽(SiO₂)和二氧化鈦(TiO₂)交替堆疊,經磁控濺射技術製成,能在可見光範圍(400–700 nm)保持高透光率,同時阻擋紫外線(200–400 nm)與近紅外線(700–1100 nm)。最終結構包含29層,其實驗結果與理論模擬高度吻合。
為改善光分布與降低角度依賴性,研究使用奈米壓印技術製作表面擴散器,並透過濕法蝕刻技術在矽基材上形成隨機排列的金字塔結構。調整蝕刻時間後,6分鐘蝕刻的樣本達到最高霧度(92.38%)與最佳擴散效果。此外,利用表面修飾技術提升擴散器的疏水性,接觸角最高達124°,增強耐用性與自清潔功能。此方法為提升光學系統性能提供了一種高效解決方案,可應用於多種領域。
Flexible electronic devices are rapidly advancing, bringing innovative applications to optoelectronic technology. However, traditional optoelectronic devices are limited by rigid substrates, making them unsuitable for flexible applications. Due to its excellent optoelectronic properties and low processing temperature, zinc oxide (ZnO) has become an ideal material for flexible photodetectors (PDs). This study aims to integrate grating-patterned ZnO nanograss onto a flexible polyethylene terephthalate (PET) substrate using nanoimprint lithography (NIL) to enhance the broadband photosensing capabilities of ZnO-based PDs. Experimental results show that NIL technology successfully fabricates uniform and well-structured ZnO nanorod gratings on PET substrates, with a linewidth of approximately 600 nm and a pitch of about 1080 nm. Material analyses indicate that the NIL process, assisted by oxygen plasma etching, increases surface oxygen adsorption on ZnO nanorod surfaces, thereby improving device sensitivity. Notably, photosensing measurements demonstrate that, compared to conventional nonpatterned ZnO nanograss PDs, grating-patterned ZnO nanograss PDs exhibit significantly enhanced photoresponse in the visible light region. Absorption spectrum analysis further confirms that the grating structure effectively improves light absorption in the visible wavelength range. This enhanced photoresponse is attributed to the grating structure, which extends the optical path and increases light absorption by ZnO nanorods. Additionally, this study employs artificial intelligence (AI) deep learning techniques to analyze photosensing data, successfully identifying the corresponding light sources. This work demonstrates the potential of NIL technology in improving the broadband photosensing performance of ZnO-nanograss-based PDs and, in combination with AI deep learning, creates a broadband PDs capable of distinguishing different light sources without requiring optical filters. This research paves the way for future development of flexible optoelectronic devices, with ZnO nanograss PDs showing great potential for advanced light sensing applications.
軟性電子設備的迅速發展正為光電技術帶來創新應用。然而,傳統光電設備受限於剛性基板,難以滿足軟性應用的需求。氧化鋅(ZnO)因其卓越的光電性能及低加工溫度,成為製作軟性光感測器(Photodetectors, PDs)的理想材料。本研究旨在利用奈米壓印光刻技術(Nanoimprint Lithography, NIL),將光柵圖案化的氧化鋅奈米草結構整合至軟性聚對苯二甲酸乙二酯(PET)基板上,以提升基於ZnO的PDs的寬頻光感測能力。
實驗結果顯示,NIL技術成功在PET基板上製作出均勻且結構良好的氧化鋅奈米棒光柵,其線寬約600奈米,節距約1080奈米。材料分析表明,透過氧電漿蝕刻輔助的NIL工藝,ZnO奈米棒表面氧吸附量增加,從而改善了器件的靈敏度。值得注意的是,光感測測量結果顯示,與傳統未經圖案化的ZnO奈米草PDs相比,光柵圖案化的ZnO奈米草PDs在可見光波段的光響應顯著增強。吸收光譜分析進一步證實,光柵結構有效提升了在可見光波長範圍內的光吸收能力。
這種光響應增強主要歸因於光柵結構的設計,其延長了光的光學路徑並增加了ZnO奈米棒的光吸收。此外,本研究採用人工智慧(AI)深度學習技術對光感測數據進行分析,成功識別對應的光源。此項工作展示了NIL技術在提升ZnO奈米草基PDs寬頻光感測性能方面的潛力,並結合AI深度學習,開發出無需光學濾波器即可區分不同光源的寬頻PDs。
本研究為軟性光電設備的未來發展奠定了基礎,顯示出ZnO奈米草PDs在高階光感測應用中的巨大潛力。
As feature sizes in semiconductor manufacturing continue to shrink, accurate mask inspection and wafer-level prediction have become increasingly challenging. This paper presents a lithography-driven mask reconstruction framework that infers physically meaningful mask patterns from aerial images captured by mask reviewers. The proposed approach is grounded in an image formation model based on stacked pupil shift matrices and ensures physical interpretability and alignment with real lithography processes. The framework integrates a level-set-based inverse modeling approach with adaptive time-step optimization methods, including Barzilai–Borwein method and Golden Section Search, to ensure convergence efficiency and stability. To address the sensitivity of level-set methods to initialization, a deep learning-based model trained on lithography-aware data is introduced to generate accurate initial level-set functions. Additionally, an upsampling technique is employed to overcome pixel resolution limitations and to refine mask edge smoothness without increasing runtime. Experimental results demonstrate that the reconstructed masks generate aerial images that closely match those from mask reviewers. Compared with the sidelobe search, our AI-initialized method substantially improves reconstruction accuracy and convergence, especially in cases involving subresolution assist features. Furthermore, wafer-level evaluations exhibit strong alignment between simulated and actual CD variations, and matching slopes are consistently above 0.8. The proposed framework effectively bridges the gap between aerial image analysis and wafer behavior prediction, and offers a robust, scalable solution for advanced mask review and verification workflows.
隨著半導體製程中的特徵尺寸不斷縮小,精確的光罩檢測與晶圓層級預測已變得愈加困難。本研究提出了一項以光學微影影像模擬為核心的光罩重建技術,透過光罩檢測機台擷取的空間影像進行光罩圖案的重建。此技術基於一個以堆疊光瞳偏移矩陣(stacked pupil shift matrix)為基礎的光學影像模型,並結合了基於level-set反向建模的方法以及自適應時間步長優化技術(包括 Barzilai–Borwein 方法與黃金分割搜索法),以確保收斂效率與穩定性。
為了解 level-set 方法對 level-set 函數初始化的敏感性影響,本研究引入了一個基於深度學習的模型。該模型透過微影光學模型的數據訓練,能生成精確的初始 level-set 函數。此外,本技術採用了 上採樣(upsampling)技術,以克服像素解析度的限制,在不大幅增加運行時間的情況下優化光罩邊緣的平滑度。實驗結果顯示,重建的光罩能生成與光罩檢測機台所捕獲影像高度一致的空間影像。與 sidelobe search method 相比,基於人工智慧初始化的方法在重建精度與收斂性方面有顯著提升,尤其是在涉及次解析輔助特徵(sub-resolution assist feature)的情境中。
此外,晶圓層級的評估結果顯示,模擬的臨界尺寸(CD)變化與實際測量結果具有高度一致性,且匹配斜率始終高於 0.8。所提出的框架有效縮短了空間影像分析與晶圓行為預測之間的距離,並提供了一個穩健且具可擴展性的解決方案,適用於先進光罩檢測與驗證工作流程。
This study successfully fabricated silver-decorated, sub-micrometer patterned zinc oxide (ZnO) nanograss substrates using nanoimprint lithography (NIL) and hydrothermal synthesis to achieve enhanced surface-enhanced Raman scattering (SERS) sensitivity. The ZnO nanograss structures were precisely patterned via NIL, allowing for controlled spatial arrangement and selective growth, with grating periods ranging from 1000 to 2000 nm and defined area widths between 500 and 1000 nm. Silver nanoparticles were deposited on the substrates through electron beam evaporation. The patterned design of the ZnO nanograss substrates significantly enhanced grating-mediated resonant excitation of localized surface plasmon resonance (LSPR), optimizing the interaction between incident light and the substrate. This resulted in more concentrated and focused light fields, which further amplified the LSPR effects. The impact of substrate hydrophobic characteristics, induced by dark storage for up to 3 months, on SERS performance was thoroughly investigated, with contact angles increasing from 93.5° to 144° during storage. These sticky properties facilitated the concentration of analyte molecules, significantly enhancing Raman signal intensity. Various periodic patterns, including 1D gratings and 2D arrays, were optimized to determine the ideal grating period for maximum Raman signal enhancement, achieving an analytical enhancement factor of 6.31 × 1010. Comprehensive characterization techniques, such as SEM, EDS, XRD, and XPS, were used to analyze the substrates' morphology, elemental composition, and structural properties. SERS sensitivity was evaluated using malachite green (MG) molecules, revealing an impressive limit of detection (LOD) of 1.85 × 10−15. Furthermore, the substrates exhibited excellent long-term stability and signal reproducibility, maintaining consistent SERS performance after extended storage. This research establishes a cost-effective and highly sensitive SERS platform, offering significant potential for applications in chemical, environmental, and biochemical analysis.
本研究成功製備了奈米銀修飾的次微米級圖案化氧化鋅(ZnO)奈米草基板,利用奈米壓印技術(NIL)以及水熱合成方法,以實現增強的表面增強拉曼散射(SERS)靈敏度。透過NIL技術精確圖案化ZnO奈米草結構,實現了空間排列的可控性及選擇性生長,光柵週期範圍為1000至2000 nm,圖案區域寬度介於500至1000 nm。基板上的銀奈米粒子則通過電子束蒸鍍技術沉積而成。ZnO奈米草基板的圖案化設計顯著增強了光柵介導的局域表面電漿共振(LSPR)的共振激發,優化了入射光與基板之間的相互作用。此設計產生更集中且聚焦的光場,進一步放大了LSPR效應。
研究深入探討了基板的疏水特性(由暗存儲時間長達3個月所引發)對SERS性能的影響,接觸角在存儲期間由93.5°增加至144°。這些黏附性特徵促進了分析物分子的濃縮,顯著增強了拉曼信號強度。通過優化多種週期性圖案,包括一維光柵和二維陣列,確定了最大化拉曼信號增強的理想光柵週期,並實現了6.31 × 10¹⁰的分析增強因子。利用SEM、EDS、XRD和XPS等綜合表徵技術,分析基板的形態、元素組成及結構特性。SERS靈敏度以孔雀石綠(MG)分子進行評估,顯示出令人印象深刻的檢測極限(LOD)為1.85 × 10⁻¹⁵。此外,基板展現了出色的長期穩定性與信號重現性,在長期存儲後仍保持一致的SERS性能。
本研究建立了一種具成本效益且高靈敏度的SERS平台,為化學、環境及生物化學分析領域的應用提供了巨大的潛力。
This study demonstrates the development of a sticky hydrophobic SU-8 nanopillar substrate combined with silver nanoparticles (AgNPs) for highly sensitive surface-enhanced Raman scattering (SERS) detection. The SU-8 nanopillars were fabricated using nanoimprint lithography (NIL) and modified with fluoride treatments to achieve sticky and hydrophobic properties, which enhance analyte concentration and SERS signal strength. SU-8 was selected for its durability, chemical resistance, and ease of modification, whereas NIL provided cost-effective, scalable, and precise nanoscale patterning, crucial for creating high-performance SERS substrates. Self-synthesized AgNPs, produced via a citrate reduction method, exhibited strong SERS signals due to their irregular shapes and sharp tips, enhancing localized surface plasmon resonance (LSPR) effects. The SERS performance of this system was evaluated using malachite green as a model analyte. Detection limits down to 10−17 M were achieved in deionized water and river water, demonstrating exceptional sensitivity. In seawater, detection was limited to 10−12 M due to salt deposition affecting the LSPR effect. The sticky hydrophobic substrate enabled precise analyte localization and reproducibility across different substrates, making this approach highly effective for ultra-low concentration detection. This method presents a cost-efficient, scalable, and highly sensitive platform for SERS applications, offering detection capabilities beyond conventional limits for environmental monitoring and pollutant detection.
這項研究展示了一種結合銀奈米顆粒(AgNPs)的黏性疏水性SU-8奈米柱基板,用於高靈敏度的表面增強拉曼散射(SERS)檢測。SU-8奈米柱是使用奈米壓印光刻技術(NIL)製造的,並通過氟化處理進行改性,以實現黏性和疏水性質,從而增強分析物濃度和SERS信號強度。選擇SU-8是因為其耐用性、化學抗性和易於改性,而NIL則提供了成本效益高、可擴展且精確的納米級圖案化,這對於創建高性能SERS基板至關重要。自合成的AgNPs通過檸檬酸鹽還原法製備,由於其不規則形狀和尖銳的尖端,增強了局部表面電漿共振(LSPR)效應,從而表現出強烈的SERS信號。該系統的SERS性能使用孔雀石綠作為模型分析物進行評估。在去離子水和河水中,檢測限達到10−17 M,顯示出卓越的靈敏度。在海水中,由於鹽沉積影響LSPR效應,檢測限被限制在10−12 M。黏性疏水基板使得分析物能夠精確定位並在不同基板上具有可重複性,使這種方法在超低濃度檢測中非常有效。這一方法提供了一個成本效益高、可擴展且高度靈敏的SERS應用平台,為環境監測和污染物檢測提供了超越傳統極限檢測能力的可能性。
Cancer diagnostics often faces challenges, such as invasiveness, high costs, and limited sensitivity for early detection, emphasizing the need for improved approaches. We present a surface-enhanced Raman scattering (SERS)-based platform leveraging inverted pyramid SU-8 nanostructured substrates fabricated via nanoimprint lithography. These substrates, characterized by sharp apices and edges, are further functionalized with (3-aminopropyl)triethoxysilane (APTES), enabling the uniform self-assembly of AuNPs to create a highly favorable configuration for enhanced SERS analysis. Performance testing of the substrates using malachite green (MG) as a model analyte demonstrated excellent detection capabilities, achieving a limit of detection as low as 10−12 M. Building on these results, the SERS platform was adapted for the sensitive and specific detection of hyaluronic acid (HA), a key biomarker associated with inflammation and cancer progression. The system employs a sandwich immunoassay configuration, with substrates functionalized with antibodies to capture HA molecules and 4-MBA-labeled SERS tags for detection. This setup achieved an ultra-sensitive detection limit of 10−11 g/mL for HA. Comprehensive characterization confirmed the uniformity and reproducibility of the SERS substrates, while validation in complex biological matrices demonstrated their robustness and reliability, highlighting their potential in cancer diagnostics and biomarker detection.
癌症診斷經常面臨如侵入性高、成本昂貴以及對早期檢測靈敏度有限等挑戰,突顯了改進診斷方法的必要性。我們提出一種基於表面增強拉曼散射(SERS)的平台,此平台利用經奈米壓印微影技術製造的倒金字塔形SU-8奈米結構基板。這些基板具有尖銳的頂端與邊緣,並進一步經由(3-氨丙基)三乙氧基矽烷(APTES)功能化處理,使得金奈米顆粒(AuNPs)能均勻自組裝,形成對SERS分析高度有利的結構配置。在性能測試中,以孔雀石綠(MG)作為模型分析物,基板展現出優異的檢測能力,偵測下限可低至10⁻¹² M。基於此結果,我們進一步將此SERS平台應用於對透明質酸(HA)的敏感且特異性的檢測,透明質酸是一種與炎症及癌症進展相關的重要生物標誌物。該系統採用夾心免疫分析的配置,透過以抗體功能化的基板捕捉HA分子,並使用標記4-MBA的SERS標籤進行檢測。此設置對HA達到了10⁻¹¹ g/mL的超靈敏檢測下限。全面的特性分析證實了SERS基板的均勻性與重現性,並在複雜的生物基質中進行驗證,展示了其穩健性與可靠性,突顯其在癌症診斷及生物標誌物檢測中的潛力。
Effectively controlling the motion of water droplets on open surfaces is crucial in digital microfluidics. Therefore, this study develops a triboelectric method that involves using a polytetrafluoroethylene (PTFE) rod on the back side of a substrate for controlling the movement of water droplets on the opposite side of the substrate. Glass substrates with silica nanowires are prepared, and surface treatment is then performed to ensure superhydrophobicity. Results indicate that the triboelectric static electricity generated on a PTFE rod is sufficient for driving water droplets on the prepared substrates. The droplets can be controlled to move along specific trajectories over an extended period without any water being lost; the droplets’ speed and acceleration can exceed 100 mm/s and 10,000 mm/s2, respectively. Droplets with various volumes can be controlled using the aforementioned method, which enables the method to be effective even when droplets mix to produce larger droplets. Moreover, water droplets can be collected over long distances by leveraging electrostatic forces, and the proposed method is effective even in hexane solvent. Finally, Raman signal detection can be enhanced for trace molecules by mixing water droplets containing silver nanoparticles and rhodamine 6G molecules after rapidly oscillating them by using the proposed method.
有效控制水滴在開放表面上的運動是數位微流體技術中的關鍵。因此,本研究提出了一種基於摩擦電效應的方法,利用聚四氟乙烯(PTFE)棒作用於基板背面,以控制水滴在基板正面的運動。研究中製備了帶有二氧化矽奈米線的玻璃基板,並進行表面處理以確保超疏水性。結果顯示,PTFE棒產生的摩擦電靜電足以驅動水滴在所製備的基板上移動。水滴可沿特定軌跡穩定移動且持續時間長,且不會發生水分流失;水滴的速度和加速度分別可超過 100 mm/s 和 10,000 mm/s²。上述方法可有效控制不同體積的水滴,即使水滴混合形成更大的水滴也同樣適用。此外,利用靜電力還可以長距離收集水滴,且該方法在己烷溶劑中仍然有效。最後,通過快速振盪含有銀奈米粒子和羅丹明 6G 分子的水滴,可增強微量分子進行拉曼信號檢測的效果。
This study presents the design and fabrication of a vertically stacked aluminum (Al) nanosquare metasurface for infrared cutoff (IR-cut) applications, utilizing advanced nanomaterials to enhance device performance by blocking infrared light while maintaining transparency in the visible spectrum. Rigorous coupled wave analysis simulations were employed to evaluate and optimize the optical performance of the metasurface, focusing on key parameters such as nanosquare size, period, thickness, and the gap between stacked layers. The optimized metasurface design, based on simulation results, exhibited a balanced performance, achieving an average visible light transmittance of 59.9% across the
400−700 nm wavelength range, while providing effective extended-range IR suppression with an average transmittance of only 6.5% in the 720−1200 nm range. To fabricate these metasurfaces, a nanotransfer printing (nTP) technique was employed, offering a simplified and efficient alternative to traditional lithography and lift-off processes. This design not only reduces the overall thickness of the optical devices but also facilitates easy integration into
integrated optical components. This study highlights the potential of nTP-fabricated metasurfaces as effective and scalable solutions for IR-cut filters, advancing the field of applied nanomaterials while contributing to the development of more compact and efficient optical systems.
本研究提出了一種用於紅外截止(IR-cut)應用的垂直堆疊鋁(Al)奈米方形超穎表面之設計與製造,運用先進的奈米材料提升元件性能,達成阻隔紅外光的同時,保持可見光波段的透明性。研究中利用嚴格耦合波分析模擬(Rigorous Coupled Wave Analysis Simulations)來評估並優化超穎表面的光學性能,調控奈米方形的尺寸、週期、厚度以及堆疊層間的間隙等關鍵參數。基於模擬結果,優化後的超穎表面設計展現了平衡的性能,在400–700 nm波長範圍內達到平均可見光穿透率59.9%,同時在720–1200 nm波段提供有效的擴展範圍紅外抑制,平均穿透率僅為6.5%。
為了製造這些超穎表面,本研究採用了奈米轉印技術(nanotransfer printing, nTP),提供了一種簡化且高效的替代方案,相較於傳統的微影與Lift-off製程更加便利。此超穎表面之設計不僅減少了光學元件的整體厚度,還促進了其與整合式光學元件的便捷整合。本研究強調了利用nTP製造之超穎表面作為有效且具規模化潛力的IR-cut濾波器的可能性,不僅推進了應用奈米材料領域的發展,也為製作更小型且高效的光學系統做出了貢獻。
Nanoimprint lithography (NIL) has emerged as a powerful technique for integrating nanostructures into semiconductor devices, particularly optoelectronic devices such as solar cells, light-emitting diodes (LEDs), lasers, and photodetectors (PDs). This study presents the successful fabrication of nano-patterned ZnO nanorods (ZnO NRs) using NIL, resulting in a grating structure with a linewidth of approximately 600 nm and a periodicity of around 1080 nm. Morphological characterizations, including scanning electron microscopy (SEM), atomic force microscopy (AFM), and laser confocal microscopy, reveal well-defined grating structures with ZnO NRs deposited on the substrate. Transmission electron microscopy (TEM) and X-ray diffraction (XRD) further confirm the crystallinity of ZnO NRs, both with and without NIL treatment. X-ray photoemission spectroscopy (XPS) shows that the NIL-patterned samples with ZnO NRs exhibit fewer defects, attributed to the O2 plasma treatment during the NIL process. The NIL-defined grating structure of ZnO NRs is then employed in the fabrication of photodetectors, which demonstrate higher sensitivity than the pure ZnO-NRs-based PDs, presumably due to the reduce defects. Moreover, the NIL-defined PDs exhibit broadband photoresponses across the UV and visible light spectrum. This work introduces a novel device design for photodetectors and highlights the potential of NIL in creating broadband ZnO-based PDs, promising applications in future optoelectronics.
本研究展示了利用奈米壓印微影技術(NIL)製造具有光柵結構的奈米氧化鋅(ZnO)奈米棒以應用於寬頻光感測器的成果。ZnO奈米棒光柵結構的線寬約600 nm,週期約1080 nm,經由氧電漿處理大幅降低氧缺陷,提升元件品質。材料特性分析顯示,NIL處理樣品的氧相關缺陷顯著減少,其原因是製程中的氧電漿處理有效填補了氧空缺。光電感測結果顯示,NIL製造的光感測器在紫外線(UV)至可見光波段展現了優異的光響應,相較於未經NIL處理的ZnO奈米棒基光感測器,其靈敏度更高,且暗電流顯著降低,提供更穩定的性能。
此外,研究中詳細分析了不同光源(UV、藍光、綠光及紅光)下的光響應,並對比NIL處理與未處理樣品的光電特性,證明NIL技術不僅有助於製造高效能奈米結構,還能擴展其在光感測應用中的可能性。研究顯示,NIL技術具備高重現性、低成本及低溫加工等優勢,適合應用於柔性及可延展的光電元件,為未來光感測技術開拓了新方向。本研究為利用ZnO奈米棒及奈米壓印技術製作寬頻光感測器提供了新穎的設計與實現路徑,促進了相關領域的發展。
Bladder cancer is one of the most common malignancies in the urinary system. Cystoscopy is the traditional standard diagnostic method for bladder cancer with subsequent biopsy or surgery. However, this method is uncomfortable for most patients because it requires anesthesia and possibly causes infections. Due to bladder cancer's high recurrence rate, a rapid, low-cost, high-sensitivity, and non-invasive sensing method is needed. This study employed gold nanomushroom (AuNM) chips for bladder cancer biomarkers detection, combining the benefits of sandwich immunoassay and localized surface plasmon resonance (LSPR) sensing. With a metal nanotransfer printing technique, which is low-cost and straightforward, the AuNMs were patterned on flexible polycarbonate (PC) sheets. The gold caps stood above PC stems and provided ample spatial areas for capturing the biomarkers to be sensed. Three biomarkers were served as the antigens and analytes, including human complement factor H (CFH), hyaluronic acid (HA), and nuclear matrix protein 22 (NMP22). Different antibodies, which against the same biomarker, were covalently conjugated to AuNMs or gold nanoparticles, respectively. When the antibody-antigen-antibody sandwich structure formed, the plasmonic coupling between the AuNM surface and the gold nanoparticles significantly enhanced LSPR signals. The LSPR red-shifts correlated quantitatively with the concentrations of the biomarkers. The limits of detection were 6.5, 8.3, and 7.0 pg/mL for CFH, HA, and NMP22, respectively. The chip's specificity was tested and confirmed, excluding the non-specific binding and false-positive possibility. The sensing performance of this sandwich immunoassay-based AuNM chip was better than that of the commercialized enzyme-linked immunosorbent assay. It provided a rapid, label-free, and easy operating platform for diagnosing and monitoring bladder cancer.
本研究提出一種基於奈米轉印技術(nTP)製作金奈米菇(AuNM)陣列的簡便方法,用於檢測膀胱癌生物標記物。AuNM陣列利用局域表面電漿共振(LSPR)增強的光學信號,結合夾心免疫分析技術進行生物標記物的檢測。實驗選取人類補體因子H(CFH)、透明質酸(HA)及核基質蛋白22(NMP22)作為目標標記物,並使用特定抗體修飾AuNM表面與金奈米粒子(AuNP),以形成抗體-抗原-抗體的夾心結構,進一步提升LSPR信號靈敏度。檢測結果顯示,CFH、HA及NMP22的最低檢測限分別為6.5 pg/mL、8.3 pg/mL及7.0 pg/mL,均低於傳統酵素免疫分析法(ELISA)。
研究結果顯示,AuNM晶片具有高靈敏度及高特異性,能準確區分不同濃度的生物標記物,並驗證其在複雜樣品(如尿液及血清)中的應用潛力。相比於傳統診斷方法,本技術具有操作簡便、快速無標籤檢測及高效節約成本等優勢,為膀胱癌的非侵入性診斷提供了一個新穎的平台。該研究不僅拓展了LSPR技術在生物醫學檢測中的應用,也展示了其在多標記物交叉篩選及膀胱癌監測中的廣泛應用前景。
The paper presents a simple, fast, and cost-effective method for creating metal/SU-8 nanocomposites by applying a metal precursor drop onto the surface or nanostructure of SU-8 and exposing it to UV light. No pre-mixing of the metal precursor with the SU-8 polymer or pre-synthesis of metal nanoparticles is required. A TEM analysis was conducted to confirm the composition and depth distribution of the silver nanoparticles, which penetrate the SU-8 film and uniformly form the Ag/SU-8 nanocomposites. The antibacterial properties of the nanocomposites were evaluated. Moreover, a composite surface with a top layer of gold nanodisks and a bottom layer of Ag/SU-8 nanocomposites was produced using the same photoreduction process with gold and silver precursors, respectively. The reduction parameters can be manipulated to customize the color and spectrum of various composite surfaces.
本研究展示了一種快速、簡單且具成本效益的製備金屬/SU-8奈米複合材料的方法,利用紫外光還原技術直接在SU-8基底上生成金屬奈米粒子,而無需預先混合金屬前驅物或合成奈米粒子。銀奈米粒子(AgNPs)通過滲透進入SU-8薄膜形成Ag/SU-8奈米複合材料,並展示了局域表面電漿共振(LSPR)的特性。研究表明,還原參數的調控,例如光引發劑(PI)濃度、硝酸銀濃度及還原次數,對AgNPs的生成有顯著影響。實驗中還利用相同的光還原方法製備出包含金奈米盤和Ag/SU-8奈米複合材料的多層結構,通過調整金屬前驅物濃度與幾何參數實現顏色與光譜的客製化應用。
此外,Ag/SU-8奈米複合材料表現出優異的抗菌性能,對革蘭氏陰性和陽性細菌均具有顯著抑制效果,尤其對抗生素耐藥菌株更具效力。研究發現,隨著奈米粒子密度的增加和還原次數的增多,抑菌作用增強。此方法不僅為製備具有抗菌性、光學特性與結構多樣性的奈米複合材料提供了新途徑,也降低了製備成本並提升了工藝效率,展示了在光電子、生物醫學與顏色過濾應用中的廣闊前景。
In this study, we present the performance of an extreme ultraviolet interference lithography (EUV-IL) setup that was reconstructed at Taiwan Light Source 21B2 EUV beamline in the National Synchrotron Radiation Research Center (NSRRC), Taiwan. An easy-to-perform fabrication method to produce a high-quality transmission grating mask and a simple design of experimental setup for EUV-IL were developed. The current EUV-IL setup is capable of fabricating line/space patterns down to 25 nm half-pitch in hydrogen silsesquioxane (HSQ) resist. Preliminary exposure results revealed that optimized slit width and exposure time significantly improved line/space pattern quality. The current EUV-IL tool at NSRRC can be used for nano-patterning and resist screening to advance the next generation of semiconductor devices.
本研究提出了在台灣國家同步輻射研究中心(NSRRC)21B2極紫外光(EUV)光束線開發的干涉微影製程,用以製作半間距25 nm的線/空間圖案。研究設計了一種簡單高效的製程,用於製作高品質透射光柵遮罩,並重建了可用於EUV干涉微影的實驗裝置。透射光柵遮罩以電子束微影技術(EBL)製造,並使用氫矽倍半氧烷(HSQ)光阻實現高解析度線/空間圖案。
實驗結果顯示,透射光柵的裂縫寬度及曝光時間是影響圖案品質的重要參數。隨著裂縫寬度的減小,EUV光束的相干性顯著提升,最終成功製作出具有25 nm半間距的高解析度線/空間圖案。此外,橫截面影像顯示,所得圖案具備良好的結構均勻性與高縱深比(1:2)。然而,本研究也發現圖案在臨界尺寸均勻性及線寬粗糙度方面存在挑戰,可能與遮罩製程的光子阻擋層厚度及EUV光泄漏有關。研究建議優化阻擋層厚度及後製程參數,以改善圖案品質。同時,也考慮採用其他光阻進一步探索此技術在EUV光阻篩選及高數值孔徑(NA)微影中的應用潛力。本研究展示了EUV干涉微影技術的發展與應用,為未來奈米微影技術的進步提供了新思路。
The photoreduction method is a potential method in terms of simplification, speed and cost of the process. This paper presents a simple and novel method for the direct synthesis of a gold nanoparticle monolayer with a droplet of gold precursor on the surface of SU-8 under UV exposure. Photoreduction ability on different polymer surfaces with various photoinitiator concentrations was investigated. The horizontal and vertical distributions of reduced nanoparticles were examined by using a scanning electron microscope and a transmission electron microscope, and crystalline property was verified through energy dispersive X-ray spectroscopy. The influence of SU-8 initial curing time and reduction repetition times on nanoparticle sizes and densities was investigated. The same photoreduction process is applicable to the surface of SU-8 nanostructures. The use of SU-8 nanopillars as a photoreduction substrate can provide a high specific surface area for improving the density of hot spots in the application of surface-enhanced Raman spectroscopy.
本研究提出了一種快速、簡便且具成本效益的光還原法,直接在環氧樹脂基光阻(SU-8)表面合成單層金奈米粒子(AuNPs),並將其應用於表面增強拉曼散射(SERS)感測。透過將金前驅物溶液滴加於SU-8表面後進行紫外光(UV)照射,可以在SU-8薄膜及奈米結構表面形成均勻分佈的AuNPs,無需額外的表面修飾或化學還原劑。本方法中,SU-8作為還原劑,透過光還原機制成功將金離子還原為單晶結構的AuNPs,並可通過初始UV固化時間及還原次數調控其粒徑及密度。
研究結果顯示,SU-8奈米柱結構比平面SU-8表面提供更高的比表面積,可提升AuNPs的熱點密度,增強SERS信號。經五次光還原處理的SU-8奈米柱基材,其SERS增強信號較平面基材高達五倍,並能檢測羅丹明6G濃度低至10⁻¹⁰ M。此外,本方法亦成功應用於檢測馬來綠,靈敏度符合歐盟食品安全法規的要求。本研究證明了SU-8作為光還原基材的潛力,為開發低成本、高效能的SERS感測平台提供了新方向。
This paper presents a simple approach for selective growth of patterned monolayer gold nanoparticles (AuNPs) and bulk gold nanostructures through photoreduction. Photoreduction can occur on the surface of SU-8 but not on the surface of poly(methyl methacrylate) (PMMA). Therefore, a PMMA mask was introduced to the SU-8 surface to confine the area for AuNP production. We developed a residual layer-free nanotransfer printing (nTP) process to transfer the PMMA mask from a perfluoropolyether mold onto the SU-8 surface. After the exposure of a drop of HAuCl4 over the SU-8 surface masked by PMMA to UV light, AuNPs formed on the uncovered SU-8 surface. Gold nanostructures with various shapes, including nanodisks, nanorings, nanorod dimers, and asymmetric U-shaped split-ring resonators, were produced through the proposed approach. The optical properties of the fabricated nanostructures were investigated and found to be consistent with the simulation. Overall, this study provides a reliable and predictable process for fabricating gold nanostructures with various shapes, which can be beneficial for plasmonic applications.
本研究提出了一種基於光還原技術,於環氧樹脂基光阻(SU-8)表面選擇性生成金奈米粒子(AuNPs)及其圖案化結構的新方法。透過將聚甲基丙烯酸甲酯(PMMA)作為遮罩層,並使用無殘留層的奈米轉印製程(nTP),將PMMA遮罩轉印至SU-8表面,實現了AuNPs的選擇性成長。研究結果顯示,AuNPs能以單層形式均勻分佈於未覆蓋區域,並透過多次光還原處理,逐漸形成塊狀金奈米結構,且其尺寸與高度可依還原次數進一步調整。
此外,研究成功製作了多種形狀的金奈米結構,包括奈米圓盤、奈米環、奈米棒二聚體及不對稱U型結構。這些奈米結構展現了預期的電漿共振特性,且與模擬結果高度吻合。本方法不僅操作簡便且具高再現性,能有效克服傳統製程中脫膜問題,並顯著降低製作成本。此技術為製備高效能的電漿光學奈米結構提供了新方向,具有廣泛的應用潛力,包括光學感測、光譜分析及生物醫學等領域。
This study demonstrates the fabrication of periodically unidirectional nano-wedges using nanoimprint lithography to achieve homeotropic alignments in sandwiched liquid crystal (LC) cells. When electrically operating LC cells for the purpose of electro-optical performance without the occurrence of disclination lines, the LC pretilt angles play an essential role in achieving uniform LC alignments and well-behaved device functions. The periodical nano-wedges composed of asymmetrically sawtooth-like units were just designed for providing LC pretilt angles. We used grayscale electron beam lithography to fabricate nanoimprint master templates with periodically unidirectional nano-wedges with a 1-μm pitch. The photoresist SU-8 was used as the nanoimprint polymer to form nano-wedge structures. An ultrathin poly(dimethylsiloxane) (PDMS) film was coated on the nano-wedges to assist homeotropic LC alignments. The fabricated nano-wedges were capable of better LC alignments than nano-rectangles in the cells, including fewer disclination lines and better optical performance, as supported by the data obtained.
本研究提出了一種基於奈米壓印技術(NIL)製備週期性單向奈米楔形結構的方法,用於液晶(LC)元件的垂直配向。此方法使用灰階電子束微影技術製作母模板,並以SU-8作為奈米壓印材料,生成具1 μm間距和非對稱鋸齒狀單元的奈米楔形結構。實驗中在奈米楔形結構表面塗覆了一層超薄聚二甲基矽氧烷(PDMS)薄膜,以輔助液晶分子的均勻垂直配向。
研究結果顯示,奈米楔形結構可有效提供液晶分子的預傾角,避免缺陷線的生成,並提升元件的光學性能。與對稱奈米矩形結構相比,奈米楔形結構展現出更好的光學均勻性和更少的缺陷線。通過電壓誘導,液晶分子在奈米楔形結構上的最終配向主要沿週期性槽的方向,表現出穩定且均勻的光學特性。本研究證明了奈米楔形結構在液晶垂直配向中的潛力,不僅能改善液晶元件的性能,還具有低成本、高效率的製程優勢。
In this study, plasmonic nanocavity arrays were fabricated as surface-enhanced Raman scattering (SERS) substrates through directed self-assembly (DSA) of block copolymer and nanotransfer printing (NTP). NTP is a simple and efficient process of replicating plasmonic nanofeatures from a silicon template. The template was fabricated with the combination of nanoimprint and DSA lithographies and can be reused repeatedly. Utilizing DSA lithography allows us to cost-effectively produce nanofeatures. Gold nanocavities with 10 nm gap size, 30 nm height, and 40 nm period were fabricated. Gold nanoslits with the same dimensions were also fabricated for comparison. The field enhancement properties of both structures were investigated numerically and experimentally. The localized field enhancement in the nanocavity was considerably stronger than that in the nanoslit. The SERS sensing result greatly agreed with the simulation result. The nanocavity substrate enhanced the SERS signals six times higher than the nanoslit substrate. This approach is a promising candidate for SERS substrate fabrication, thus eliminating the trade-off between throughput and reproducibility.
本研究提出了一種基於導向自組裝微影(DSA)與奈米轉印技術(NTP)的製程,製作出具10 nm奈米間隙的表面電漿奈米腔結構,並應用於表面增強拉曼散射(SERS)感測。首先,利用DSA技術製作矽模板,再通過NTP技術將矽模板中的奈米結構轉印至金薄膜,形成金奈米腔結構。同時,研究中亦製作金奈米狹縫結構作為對照組,探討其場增強特性與SERS性能。研究結果顯示,相較於金奈米狹縫,金奈米腔在奈米間隙內的局部場增強更為顯著,其SERS信號強度高出六倍以上。此外,模擬與實驗數據一致表明,金奈米腔結構具備極高的場增強特性與優異的SERS性能,並可成功檢測出羅丹明6G分子濃度低至10⁻⁷ M。
本方法結合DSA與NTP技術,提供了一種低成本且高重現性的奈米結構製造流程,克服了傳統製程中產量與均一性之間的矛盾,並展示了在其他金屬材料中的應用潛力。此研究為高效能SERS基材的開發提供了新的方向,並為生物醫學檢測與化學分析領域帶來了廣泛應用的可能性。
The determination of cell confluency and subculture timing for cell culture consistency is crucial in the field of cell-based research, but there is no universal standard concerning optimal confluence. In this study, gold nanodot arrays on glass substrates were used as culture substrates, and their spectral shifts of localized surface plasmon resonance (LSPR) were employed to monitor cell growth and quantify cell confluency. Experiments including cell counting, metabolic activity, focal adhesion, and cell cycle, were also performed to confirm the cell growth monitoring accuracy of the LSPR signals. The LSPR signal exhibited the same trends like the increase of cell numbers and cell metabolic activity and reached the maximum as the cell growth achieved confluency, suggesting its great capability as an effective indicator to predict suitable subculture timing. The proposed sensing approach is a noninterventional, nondestructive, real-time, and useful tool to help biologists quantify the optimal subculture timing, achieve cell culture consistency, and obtain reproducible experimental results efficiently.
本研究利用奈米壓印微影技術(NIL)製作金奈米點陣列(GNDA),並將其作為生物感測平台,用於量化細胞融合度及確定最佳次培養時機。GNDA基材不僅具生物相容性,且能藉由局域表面電漿共振(LSPR)光譜偏移監測細胞生長過程,實現即時、非侵入及無標籤的細胞監測。實驗選用人類視網膜色素上皮細胞(ARPE-19)進行培養,通過LSPR信號偏移與細胞密度、代謝活性及焦點黏附點的變化,確認GNDA的監測準確性。
研究結果顯示,LSPR紅移隨著細胞生長逐漸增加,並在細胞達到融合狀態時達到最大值,隨後因細胞凋亡而出現藍移現象。LSPR信號與細胞密度及代謝活性數據高度一致,證明LSPR紅移可作為判定細胞融合度的可靠指標。此外,免疫螢光染色實驗顯示,細胞焦點黏附點的數量增加是LSPR信號增強的主要原因。本技術不僅快速且高效,還避免了傳統檢測方法的繁瑣操作和高成本,適合應用於細胞培養標準化及次培養時機的精確預測。
此研究展示了GNDA在細胞生物學中的廣泛應用潛力,為實現細胞培養的一致性與可重現性提供了一個全新的非侵入式光學感測解決方案。
Six models, including a single-scattering model, four hybrid models, and one dielectric function model, were evaluated using Monte Carlo simulations for aluminum and copper at incident beam energies ranging from 0.5 keV to 10 keV. The inelastic mean free path, mean energy loss per unit path length, and backscattering coefficients obtained by these models are compared and discussed to understand the merits of the various models. ANOVA (Analysis of variance) statistical models were used to quantify the effects of inelastic cross section and energy loss models on the basis of the simulated results deviation from the experimental data for the inelastic mean free path, the mean energy loss per unit path length, and the backscattering coefficient, as well as their correlations. This work in this study is believed to be the first application of ANOVA models towards evaluating inelastic electron beam scattering models. This approach is an improvement over the traditional approach which involves only visual estimation of the difference between the experimental data and simulated results. The data suggests that the optimization of the effective electron number per atom, binding energy, and cut-off energy of an inelastic model for different materials at different beam energies is more important than the selection of inelastic models for Monte Carlo electron scattering simulation. During the simulations, parameters in the equations should be tuned according to different materials for different beam energies rather than merely employing default parameters for an arbitrary material. Energy loss models and cross-section formulas are not the main factors influencing energy loss. Comparison of the deviation of the simulated results from the experimental data shows a significant correlation (p<0.05) between the backscattering coefficient and energy loss per unit path length. The inclusion of backscattering electrons generated by both primary and secondary electrons for backscattering coefficient simulation is recommended for elements with high atomic numbers. In hybrid models, introducing the inner shell ionization model improves the accuracy of simulated results.
本研究比較了單一散射模型、四種混合模型與介電函數模型,模擬能量範圍為0.5 keV至10 keV的鋁與銅材料中的非彈性散射行為,探索其在電子束微影術模擬的應用潛力。採用蒙地卡羅模擬方法,計算並分析非彈性平均自由徑(IMFP)、單位路徑能量損失及背散射係數,並首次引入變異數分析(ANOVA)量化不同模型的效能。研究發現,材料的有效電子數、束縛能及截斷能的優化對於準確模擬非彈性散射行為至關重要,甚至比選擇散射模型本身更為重要。
模擬結果顯示,內殼層電離的引入顯著提高了混合模型的精確度,特別是在高原子序材料中。相比之下,單一散射模型對能量損失的模擬效果較弱,尤其在較低能量範圍內。此外,ANOVA分析表明,材料性質對IMFP與能量損失的影響顯著,而交叉截面和電離處理的選擇對低能量範圍的影響較小。背散射係數與能量損失呈顯著相關,特別是在僅考慮一次性散射的情況下。
本研究為不同散射模型的效能比較提供了一種系統性方法,並強調在模擬中根據材料和能量調整參數的重要性。這些結果不僅有助於提升電子束微影術中散射模擬的準確性,還對低能量電子在固體中的散射行為理解具有重要意義,為奈米製程和微影技術的進一步發展提供了基礎。
Nucl. Instrum. Methods Phys. Res. Sect. B-Beam Interact. Mater. Atoms 2017, 394, 103-112
This study presents a novel process based on nanotransfer printing (NTP) for fabricating gold nano-pleat arrays. A gold film deposited over nano-ridge arrays on a perfluoropolyether (PFPE) mold was transferred directly to an NOA63 film on a glass substrate. The width of the nanocavities on the nano-pleat array can be dramatically reduced compared with the width of the nano-ridges on the mold, thus avoiding the difficulty of replicating extremely small nano-features. The mechanisms of remarkable reduction in the nanocavity width during the gold sputtering process were investigated. A nano-pleat array with a nanocavity width of 20 nm transferred from a PFPE mold with 80 nm features was successfully achieved. The plasmonic properties of the nano-pleat arrays were investigated numerically and experimentally. A sharp dip was found between two coupling modes in the measured spectrum, and its bandwidth was as small as 13.1 nm. Phase dip was used for refractive index sensing, demonstrating a good sensitivity of 525.5 nm per RIU with a figure of merit of 40.1. The proposed fabrication process only involved ion sputter coating of the gold film and one-step NTP. Thus, nano-pleat arrays are promising candidates for surface plasmon sensors.
本研究提出了一種基於奈米轉印(nTP)製程,用於製作金奈米摺皺陣列,實現了奈米腔寬度的顯著減小。透過在全氟聚醚(PFPE)模具上鍍金並進行一次性NTP,成功轉印出具有極小奈米腔寬度(20 nm)的結構,而不需要模具具備極小的線寬特徵,簡化了製程複雜度。研究發現,金奈米腔的寬度縮小是由於金鍍膜過程中氧自由基腐蝕和熱應力壓縮共同作用的結果。
透過結合寬頻腔模與狹頻Wood異常及Bloch波-表面電漿子(BW-SPP)的耦合,金奈米摺皺陣列展現出尖銳的光譜相位信號,並在折射率感測中展示了優異的性能。以500 nm週期、40 nm腔寬和70 nm腔深的奈米摺皺為例,其相位訊號的品質因數(FOM)高達40.1,靈敏度為525.5 nm/RIU。此外,實驗顯示,通過調整NOA63填充層的厚度,可進一步壓縮耦合模的頻譜帶寬。
此製程簡單、高效,僅涉及金鍍膜與一次性nTP,且具備量產潛力。相比於傳統奈米狹縫結構,奈米摺皺展現了更強的場增強效果和卓越的傳輸性能,未來在表面增強拉曼散射(SERS)、生物感測及色彩濾波等領域具有廣泛應用前景。
This study demonstrates a reliable process for the direct nanoimprinting of a flexible polycarbonate (PC) sheet using a perfluoropolyether (PFPE) mold. PC is a commonly used flexible substrate with optical transparency, low thermal expansion coefficient, high mechanical strength, and excellent deformation resistivity. The imprint performance of PFPE, hard/soft- PDMS, and silicon molds are compared. Given that the heating temperature is near the glass transition temperature (~153°C) of PC, only PFPE mold can be fully patterned into PC substrate with viable integrity. The mechanical property and gas permeability of the materials are investigated to determine the mechanism of the flexible PFPE mold, which performs better than a rigid silicon mold. Nanoimprint process using a PFPE mold is performed at 153°C and 5 bar. The lower imprint temperature or imprint pressure of the proposed process compared with those from previous studies is favorable in nanoimprinting. Finally, nanoroughness-on-nanopillar hierarchical surfaces, which possess superhydrophobic slippery characteristics superior to those of nanoroughness-only surfaces, are obtained by treating PC nanopillar arrays imprinted by PFPE mold with C4F8 plasma.
本研究展示了一種基於全氟聚醚(PFPE)柔性模具的奈米壓印技術(NIL),成功實現了直接在聚碳酸酯(PC)柔性片上製造奈米結構的製程。PFPE模具具有低表面能(12 mN/m)和高彈性模數(40.5 MPa),可在較低壓力(5 bar)和溫度(153°C)的條件下完成高解析度圖案的壓印,展現出相較於傳統剛性矽模具和PDMS模具更優異的性能。模擬分析表明,PFPE模具的氣體滲透性有效避免了壓印過程中的空氣困陷,顯著提升了壓印的成功率與品質。
此外,通過奈米壓印技術製作的PC奈米柱陣列在經C4F8電漿處理後,形成了奈米粗糙-奈米柱的層次結構表面。這些結構表現出超疏水特性,水滴接觸角接近170°,且滑動角接近0°。研究結果顯示,奈米柱高度與電漿處理時間對濕潤性具有顯著影響,通過控制這些參數可實現表面濕潤性的調節。
本技術不僅具備製程簡單、成本低廉的優勢,還可廣泛應用於自清潔表面、抗腐蝕塗層及微流體裝置等領域,為奈米結構製作及其功能化開啟了新方向,並為未來柔性電子和光學元件的開發提供了強有力的技術支持。
Ray tracing is an important technique for predicting optical system performance. In the field of transformation optics, the Hamiltonian equations of motion for ray tracing are well known. The numerical solutions to the Hamiltonian equations of motion are affected by the complexities of the inhomogeneous and anisotropic indices of the optical device. Based on our knowledge, no previous work has been conducted on ray tracing for transformation optics with extreme inhomogeneity and anisotropicity. In this study, we present the use of 3D reverse ray tracing in transformation optics. The reverse ray tracing is derived from Fermat’s principle based on a sweeping method instead of finding the full solution to ordinary differential equations. The sweeping method is employed to obtain the eikonal function. The wave vectors are then obtained from the gradient of that eikonal function map in the transformed space to acquire the illuminance. Because only the rays in the points of interest have to be traced, the reverse ray tracing provides an efficient approach to investigate the illuminance of a system. This approach is useful in any form of transformation optics where the material property tensor is a symmetric positive definite matrix. The performance and analysis of three transformation optics with inhomogeneous and anisotropic indices are explored. The ray trajectories and illuminances in these demonstration cases are successfully solved by the proposed reverse ray tracing method.
本研究提出一種基於逆向光線追跡的三維方法,應用於轉換光學中具高度非均勻性與各向異性的材料系統。傳統光線追跡依賴哈密頓運動方程來模擬光線路徑,但對於材料特性張量未知或方程過於複雜的情況,可能導致數值不穩定。本方法基於費馬原理,透過掃描法計算光路函數(eikonal function),再從光路函數梯度推導出波向量,從而得到目標區域的光照度分布。
研究中展示了該方法的高效性與精確性,通過三個案例驗證其在模擬轉換光學裝置中光線路徑與光照度的能力。與傳統哈密頓光線追跡相比,逆向光線追跡不僅能在材料特性未知的情況下完成模擬,且只需追蹤感興趣區域的光線,大幅提升了運算效率。研究結果顯示,逆向光線追跡可準確模擬光柱轉平面波裝置的光線路徑與光照度分布,並成功解決了傳統方法無法處理的兩個案例,包括高定向發射與偏振態轉換裝置。
此方法為轉換光學中光線模擬提供了一個全新的解決方案,適用於具對稱正定材料特性張量的系統,並展現了其在非成像光學設計與光學性能分析中的應用潛力。
Although individual biomimetic nanograss and nanopillar arrays have been investigated widely to decrease surface reflections in energy applications, there have been few studies using them in combination to improve antireflection properties. By using hydrogen plasma to fabricate a silicon nanograss on a nanopillar array, we could decrease the reflection of the nanograss at longer wavelengths, while decreasing the non-specular reflection of the nanopillars over a wide spectral range. Simulations based on rigorous coupled-wave analysis supported the experimental data.
本研究提出了一種結合奈米草(Nanograss)與奈米柱(Nanopillars)的雙層奈米結構設計,以降低表面反射率並改善抗反射性能。奈米草結構藉由誘導耦合電漿化學氣相沉積(ICPCVD)製備,而奈米柱則透過電子束微影與乾蝕刻技術形成。將奈米草作為頂層結構與奈米柱結合後,顯著提升了整體抗反射特性。
實驗結果顯示,相較於單一奈米柱或奈米草結構,雙層奈米結構在寬頻(220–1000 nm)範圍內的反射率顯著降低,其中鏡面反射率低於1%,總反射率低於2.5%。研究表明,奈米草可降低奈米柱結構的高階繞射反射,同時平滑界面折射率的不連續性,而奈米柱作為底層結構能有效減少長波長光的反射,實現了互補增強效果。
通過嚴格耦合波分析(RCWA)模擬進一步驗證了雙層奈米結構的光學特性,結果顯示該結構在不同入射角度下均表現出良好的抗反射性能,具有廣角與寬頻的應用潛力。本研究展示了雙層奈米結構在抗反射塗層及高效能太陽能電池中的應用潛力,並為奈米結構設計提供了一種創新途徑。
This study proposes a simple and cost-effective method of solid immersion interference lithography that uses a conformable phase mask. Perfluoropolyether based polymer was used as the material of the elastomeric phase mask. The proposed method requires no liquid layer to function as the coupling medium or the index matching layer and keeps the photoresist free from the contamination of liquid. The solid coupling medium improves the resolution of interference fringes by a factor of its refractive index. This study presents an exposed interference fringe with a half-pitch of 58 nm using a He-Cd laser with l = 325 nm, which corresponds to a feature size of l/5.6.
本研究提出了一種基於可塑相位遮罩的固體浸沒干涉微影技術(Solid Immersion Interference Lithography),此方法簡單且具有成本效益。利用全氟聚醚(PFPE)製作的彈性相位遮罩,替代傳統液體層作為耦合介質,避免了由液體污染光阻或氣泡干擾圖案形成的問題。固體介質的折射率提高了干涉條紋的解析度,並成功在325 nm波長的He-Cd雷射照射下,實現了58 nm半間距的干涉條紋圖案(相當於波長的1/5.6)。
此技術利用PFPE的低表面能(12 mN/m)與高模數(40.5 MPa),確保相位遮罩能與基板緊密接觸,並易於從主模板釋放。模擬與實驗結果表明,±1階繞射效率的優化對提升圖案均勻性具有關鍵作用。與傳統方法相比,該方法不需要液體折射匹配層,且避免了0階光束對干涉條紋的影響。
本研究展示了可塑相位遮罩在高解析度周期性結構製作中的潛力,並驗證其在簡化製程與提升品質方面的優勢。該技術為深紫外(DUV)微影提供了拓展方向,特別適合用於未來光電子裝置與奈米結構製作中,具有廣泛應用前景。
Phase transition that occurs around the spectral position of localized surface plasmon resonance (LSPR) has various applications for light manipulation and refractive index sensing. Previous studies focused on phase responses of specific plasmonic structures, whereas the general theoretical analysis remains inadequate. In this study, we analytically modeled the phase spectra and the intensity spectra of silver nanodots with temporal coupled-mode theory. The phase transition occurs at the transmission dip, whereas the phase of reflection varies much more gradually. We further derived the equation for the slope of the phase at the transmission dip, which is a function of the rates of Ohmic dissipation and emission. The theoretical analysis is also applicable for wide varieties of LSPR systems and provides an intuitive physical mechanism for phase properties. Then, based on the fundamental discussion, we further investigated plasmonic phase retardation in anisotropic nanodots for the application of boosting the figure of merit (FOM) of refractive index sensing. The anisotropic nanodots induce plasmonic phase transitions, which spectrally split, for transmission waves polarized along the symmetric axes. Thus, anisotropy induces relative phase retardation in the narrow spectral region between the wavelengths of the LSPRs. We numerically manipulated the full width at half maximum of the ellipsometric spectra by adjusting the aspect ratio of the nanodots and observed an FOM of 24.3. In addition, experiments were performed to demonstrate the feasibility of this arrangement.
本研究系統性探討了局域表面電漿共振(LSPR)的光學相位特性,包括相位轉換與相位延遲,並分析其在折射率感測中的應用潛力。透過時間耦合模態理論(TCMT),模擬與實驗結合,研究了銀奈米點的傳輸與反射相位光譜。結果顯示,LSPR的相位轉換現象發生於傳輸光譜的波谷位置,而反射相位則呈現較緩慢的變化。研究中推導出相位斜率的公式,發現其由內部損耗與輻射損耗率決定,這對於提升相位感測器的靈敏度至關重要。
此外,針對異向性奈米點結構,本研究探討了由於垂直偏振間LSPR波長差異所引起的相位延遲現象。透過調整奈米點的長寬比,使相位信號帶寬縮窄,實現折射率感測中的品質因數(FOM)提升。在模擬與實驗中,當奈米點的對稱性提高時,相位信號的全寬半高(FWHM)顯著縮小,FOM可達24.3,顯著優於傳統LSPR感測。
本研究提供了一種通過設計異向性結構來增強相位感測信號的新方法,並成功將其應用於折射率感測中。研究結果不僅深化了對LSPR相位特性的理解,還為光電感測技術的發展提供了重要的理論基礎與實驗驗證。
In this study, extreme ultraviolet (EUV) diffraction gratings were fabricated by nanoimprint lithography owing to its advantages of being low cost and high throughput. The imprinted SU-8 grating can function as the EUV diffraction grating directly without the need of the additional lift-off process. The patterning steps of grating pattern and stop layer were separated to enhance 0th order blocking capability of the stop layer. The exposure results of the one-dimensional 75 and 50 nm half-pitch PMMA line/space patterns from the fabricated gratings were demonstrated.
本研究利用奈米壓印微影技術(Nanoimprint Lithography, NIL)製作極紫外光(EUV)繞射光柵,展現出低成本與高產量的製程優勢。通過將光柵圖案與遮蔽層的製作步驟分離,本方法克服了傳統製程中電子束鄰近效應與剝離困難的挑戰,成功提升了遮蔽層的厚度至100 nm以上,有效阻擋0階光束並提高干涉條紋的對比度。光柵製作過程中,採用SU-8與PMMA作為壓印聚合物,SU-8光柵可直接作為EUV繞射光柵使用,無需額外的剝離步驟,簡化了製程。
實驗結果顯示,SU-8光柵與傳統鉻(Cr)光柵相比,±1階繞射效率略低(約為0.9%至0.67%),但其製程更具穩定性和實用性。此外,利用自製的曝光平台進行EUV干涉微影實驗,成功生成1D 75與50 nm半間距的PMMA線/空間圖案,證明SU-8光柵作為EUV束分光器的可行性。
本研究展示了以NIL製作高解析度EUV繞射光柵的新方法,不僅提升了製作效率,還降低了製作成本。此技術可廣泛應用於光子晶體、電漿奈米結構及其他光子材料領域,為未來高精度奈米結構的製作提供了重要的技術支持與實驗基礎。
A simple and fast method using extreme ultraviolet off-plane scatterometry for in-line line width roughness (LWR) metrology is proposed. The effect of line roughness on diffraction is numerically investigated. LWR diffracts light into the off-plane of the incidence direction, thereby causing a decrement in specular reflection. The amplitude of LWR can be quantified by detecting the decrement of the specular reflection. The angular distribution of m = 0 and n ≠ 0 diffraction orders is related to the LWR spectrum. LWR spectral analysis is possible by scanning the angular distribution of diffracted light. This study can be applied to both amplitude measurement and spectral analysis of LWR for in-line metrology.
本研究提出一種基於極紫外(EUV)偏離平面散射術的快速量測方法,用於分析線寬粗糙度(LWR)的振幅與光譜特性。透過模擬研究,發現線寬粗糙度會將光線繞射至偏離入射平面的方向,導致鏡面反射減弱,並增強偏離平面繞射光的強度。此特性可用於LWR的振幅量測與光譜分析,提供一種非接觸且高效的在線量測工具。
研究中模擬了不同空間頻率的正弦粗糙度,發現偏離平面的繞射光強度與粗糙度的空間頻率高度相關。進一步模擬近似實際的粗糙度模型,揭示高頻粗糙度成分對低階繞射光的影響較小,而主要繞射至高階偏離平面方向。實驗結果表明,LWR的振幅增加會導致鏡面反射光的減弱以及偏離平面繞射光的增強,並證實了繞射光角度分佈與粗糙度空間頻率之間的相關性。
此外,透過調控線邊粗糙度(LER)與線寬粗糙度(LWR)的相關係數(c-factor),研究顯示偏離平面繞射光主要由LWR驅動,而與LER無關。本方法不僅可量測LWR振幅,還能藉由分析繞射光角度分佈重建粗糙度光譜。本研究為EUV微影中的掩膜與抗蝕劑圖案重建提供了新方向,並在半導體製程的高精度量測中展現了廣泛的應用潛力。
We demonstrated the plasmonic metallic nanostructure fabricated by direct nanoimprinting of gold nanoparticles (AuNPs). This approach combines the patterning and lift-off processes into a simple one-step process without the need for expensive patterning lithographies and the stringent requirement of the lift-off process for nanostructures. Good imprinting integrity was accomplished with a negligible residual layer. The dynamic optical responses of the imprinted gold pillars from AuNPs to the bulk material during the annealing process were investigated. The localized surface plasmon resonance (LSPR) properties of AuNPs or gold pillar arrays can be controlled and tuned during the annealing process. The sensitivity of the gold pillar array in terms of the wavelength shift per refractive index unit (RIU) reached 259 nm/RIU. The size of the imprinted gold pillars is highly scalable in our process. The corresponding resonance wavelengths can be widely tuned from the visible to infrared region by changing the size of the gold pillars, thus providing a wide range of sensing capability.
本研究提出一種直接奈米壓印金奈米粒子(AuNPs)的技術,用於製作電漿金屬奈米結構,實現圖案化與剝離步驟的簡化,整合為單一步驟製程,無需昂貴的圖案化微影技術及複雜的剝離程序。研究透過自製壓印平台優化壓印溫度及壓力,成功製備出殘留層極少的高品質結構。以掃描電子顯微鏡(SEM)確認壓印品質,並進一步分析金奈米粒子的局域表面電漿共振(LSPR)性質。
研究結果顯示,透過對金柱陣列的尺寸及介電環境的調整,LSPR特性可在從可見光到紅外光的範圍內進行廣泛調節。特別是,在優化壓印條件下,殘留層最小化,有效抑制了未圖案化區域金奈米粒子的LSPR效應。研究還探索了退火過程中金柱從奈米粒子到塊材的動態光學響應,並發現LSPR性質可通過退火時間與溫度進行調控。
此技術不僅簡化了傳統金屬奈米結構的製程,還展現出高解析度、低成本及高可擴展性的優勢,適用於生物感測、光電元件及光子晶體等領域。本研究提供了一種有效的製程方案,為奈米壓印技術的應用拓展了新方向,並為高性能電漿感測器的設計提供了重要依據。
In this study, we constructed an exposure platform to perform extreme ultraviolet interferometric lithography (EUVIL) exposure. This platform can be used to expose 1D and 2D gratings, simulating the line/space and contact/hole patterns, respectively. A transmission grating and an achromatic setup were utilized in the exposure. A simple and nondestructive optical characterization approach based on spectroscopic ellipsometry was proposed to characterize the structure of an EUV transmission grating. The optical behavior of a grating was analyzed by rigorous coupled-wave analysis (RCWA). The preliminary exposure results were obtained using the constructed exposure platform.
本研究提出了一套極紫外干涉微影技術(EUVIL)平台,並使用非破壞性光譜橢圓儀法對EUV透射光柵進行結構特性分析。該平台利用透射光柵作為分束器,以簡單的消色差干涉設置,實現了一維(1D)與二維(2D)周期圖案的製作,分別模擬線/空間與接觸孔/孔洞圖案。透射光柵的製作過程包括電子束微影(EBL)、鉻(Cr)鍍膜及剝離步驟,最終形成具有150 nm半間距的Cr光柵結構。
研究中採用嚴格耦合波分析(RCWA)模擬光柵的光學行為,並通過光譜橢圓儀量測反射模式下的光譜參數tan(Ψ)與cos(Δ)。結果表明,cos(Δ)相較於tan(Ψ)更適合作為光柵結構的特性參數,能敏感地反映光柵結構的細微變化。此外,透射光柵±1階繞射效率受Cr厚度及占空比顯著影響,最佳效率分別出現在Cr厚度為80 nm與占空比為0.5的條件下。1
利用該平台進行了EUV曝光實驗,成功製作出半間距75 nm的PMMA線/空間圖案,以及半間距141 nm的HSQ二維陣列圖案。本研究展示了EUVIL在高解析度周期圖案製作中的潛力,並證明非破壞性光譜橢圓儀法在結構分析中的有效性,為未來EUV微影技術的應用提供了重要基礎。
In this study, simple two-step processes were used to fabricate the wire-grid polarizer (WGP) on a polycarbonate (PC) sheet. The PC gratings were fabricated by direct imprinting of the PC sheet onto a silicon mold with a compressed air press (CAP). No additional imprinted polymer was required in the imprinting step. The applied pressure of 5 bar was much lower than the pressure reported in the literature, which was due to the use of the CAP. The WGP was further fabricated with an oblique aluminum (Al) sputtering on the PC grating. The rigorous coupled-wave analysis (RCWA) was performed to explain and verify the experimental results. The fabricated WGP should have potential application in the infrared region.
本研究提出一種以壓縮空氣壓印(CAP)技術直接在聚碳酸酯(PC)基板上製作光柵結構的簡化製程,並應用於製作線性光柵偏振片(WGP)。此方法不需要額外的壓印聚合物,透過5 bar的低壓力在170°C下壓印PC片材至矽模具上,成功生成高均勻度的PC光柵結構。相比傳統實心平行板壓印工具,CAP技術能提供均勻的壓力分佈,避免局部壓力過高導致模具或基板損壞的問題。
研究結果顯示,PC光柵結構的最佳壓印參數為5 bar壓力及170°C溫度,且經施加模具脫模劑(F13-TCS)處理後,可顯著降低表面粗糙度。隨後,透過65°傾斜鋁濺鍍,實現光柵結構的選擇性鍍膜,形成WGP結構。光學性能測試表明,該製程製作的WGP在1500 nm以上波段能有效阻擋s偏振光,且對p偏振光的透射性能優於模擬結果,部分差異可能源於鋁膜表面粗糙度引起的散射損失。
此外,調整矽模具的光柵週期至300 nm及400 nm,進一步驗證了PC光柵結構對不同波長的光學響應,結果顯示,較小的光柵間距能有效拓展偏振片在短波長範圍內的應用。本技術具有製程簡單、成本低廉的特點,適合用於紅外光區域的偏振器應用,為柔性電子元件製造提供了新的解決方案。
In this study, we investigated the plasmon resonances of split-ring resonators (SRRs) numerically at incident angles of 0 and 45° under illumination with linearly and circularly polarized waves. At 45° incidence, perpendicular polarized waves excited distinct odd plasmon modes; the difference in the reflections of right and left circularly polarized incident radiation was very large. From simulated near-field plots, we found that the parallelism of the incident electric field and the induced plasmon current was the key factor affecting excitation. We propose the use of a parallelism factor (P-factor), based on a standing-wave approach, to characterize the ability of incident fields to excite multiple plasmon resonance currents. The mechanism of the field and current parallelism can explain the resonance behavior of SRRs when considering the polarization state, incident angle, and geometry of the SRR.
本研究利用嚴格耦合波分析(RCWA)模擬探討分裂環共振器(Split-Ring Resonators, SRRs)的電漿共振行為,並提出基於駐波模型的相位平行性因子(P-factor),作為評估電漿共振激發能力的指標。在正入射條件下,SRRs展現出四種基本電漿模態,其中平行偏振光激發奇數模態,垂直偏振光則激發偶數模態。透過近場模擬圖證實,這些電漿模態的共振行為可用駐波模型解釋,且模態數量與駐波節點數成正比。
在45°斜入射條件下,SRRs幾何結構的鏡像對稱性被破壞,導致原本由垂直偏振光激發的偶數模態出現奇數模態共振峰。此外,圓偏振光激發的共振反射光譜也表現出右旋與左旋光波的不對稱性,反映出光學系統的外在手性特性。
研究中提出的P-factor計算方法,能量化描述入射電場與誘導電漿電流之間的相對平行性,並進一步將P-factor與反射光譜中的共振強度建立正相關關係。該分析工具不僅適用於SRRs,還可應用於其他線狀奈米結構,為電漿共振器的設計提供了理論依據與應用參考。此方法對於電漿子光學元件及相關感測技術的發展具有重要意義。
Extreme ultraviolet (EUV) lithography is expected to be the main candidate in the semiconductor manufacturing starting at 32 nm. As the CD is getting smaller, the aspect ratio of the patterns on the EUV mask is becoming larger. The shadowing effect will become much more significant when keeping the same 4· mask magnification. In this work, mask magnification effects on the diffracted light were explored with rigorous coupled-wave analysis (RCWA) for the sub-32 nm node. The simulated binary mask consists of 70-nm TaBN absorber and 2.5-nm Ru capping layer. The dependences of the diffraction efficiencies on mask pitches were calculated. The impacts of the absorber shadowing were observed from the near field distribution on the EUV mask. The aerial images formed by the diffracted light from the 4· and 8· masks were further evaluated.
本研究探討極紫外光(EUV)微影技術中,光罩放大倍率對繞射光的影響。研究團隊使用嚴格耦合波分析法(RCWA),針對次32奈米節點進行模擬分析。研究中使用的二元光罩結構包含70奈米厚的TaBN吸收層和2.5奈米厚的釕(Ru)保護層。隨著臨界尺寸(CD)縮小,光罩上圖案的深寬比越來越大,在維持傳統4倍放大倍率的情況下,遮蔽效應變得更加顯著。研究結果顯示,在4倍光罩中,當半節距小於32奈米時,1:1線寬/線距圖案的繞射效率明顯偏離較大節距的數值,這種現象在8倍光罩中要到16奈米才會出現;由於幾何遮蔽效應,4倍光罩的繞射光不對稱現象較為嚴重;採用8倍光罩可以減少晶圓上的線邊位移,且成像強度比4倍光罩更強。研究團隊進一步評估了不同放大倍率光罩的空中影像表現,在22奈米臨界尺寸時,8倍光罩的峰值強度比4倍光罩高出27.2%;在16奈米時則高出30.8%。此外,8倍光罩的線邊位移量也明顯較小,顯示在次32奈米節點中,8倍光罩具有較佳的光學性能。這項研究對於推進EUV微影技術在次32奈米節點的應用提供了重要的理論依據。
A simple and non-destructive optical characterizing method for the 2D photonic crystal (PC) slab was carried out by using specular spectroscopic ellipsometry. The rigorous coupled-wave analysis (RCWA) was further applied to analyze the measured ellipsometric parameters and then to simulate the structure of the measured photonic crystal. A 2D square lattice of silicon rods fabricated by electron-beam lithography on the silicon substrate was used as a testing sample in this study. The reflectance spectrum of the characterized 2D PC was also simulated by RCWA to reflect its photonic bandgap behavior directly.
本研究提出了一種簡單且非破壞性的光學特性分析方法,利用光譜橢偏儀結合嚴格耦合波分析(RCWA)來模擬二維光子晶體(PC)薄膜特性。研究中,利用電子束微影技術在矽基板上製作了一個矽柱方形晶格作為測試樣品。RCWA被用來模擬測量的橢偏參數,並進一步模擬光子晶體的結構和光學行為,包括其反射光譜,以直接反映光子帶隙特性。
研究結果顯示,橢偏譜對光柵幾何結構的敏感性使其能有效地分析PC結構,其中cos(Δ)被認為是較佳的橢偏參數,能更準確地反映結構特性。透過引入對稱性條件,RCWA的計算效率提高了約六倍,這對於複雜結構的分析尤其重要。模擬結果與實驗測量的橢偏譜有良好的一致性,特別是在不同入射角下的模擬結果顯示,RCWA方法能有效地預測光學行為。
此外,對於多層結構的分析,RCWA顯示出很高的應用潛力,能夠將任意輪廓的二維週期結構近似為層狀光柵的堆疊。這項研究不僅證實了RCWA在光子晶體結構分析中的有效性,也為未來光學材料的設計和分析提供了重要的技術支持。整體而言,RCWA方法在光子晶體的光學特性分析中展現了強大的能力和潛力。
In 193 nm immersion lithography, the presence of air bubbles in water poses a serious concern to the resist image formation. In this study, air bubble scattering effects were characterized by the Mie theory and the finite-difference time-domain FDTD method. The influence range of bubbles both in forward and lateral directions with respect to their sizes was analyzed. It is predicted that as bubble size exceeds 90 nm in diameter, light scattering becomes significant. In the FDTD simulation, the effects of scattered waves in two- and three-beam interferometric lithography were simulated to mimic the actual imaging formation in an immersion scanner. It is found that the more beams are involved in the image formation, the more scattered waves interfere with the image forming waves, and then degrade the final image. Finally, the effect of a moving bubble was also simulated. The phenomenon of image distortion in the presence of bubbles was simulated by employing the three-dimensional FDTD method.
本研究探討了193 nm浸入式干涉微影中,水中氣泡對光阻圖像形成的影響,並利用Mie理論與有限差分時域(FDTD)方法進行分析。研究首先計算了浸入式微影系統中氣泡的最小穩定尺寸,發現氣泡直徑小於7 nm時無法穩定存在。透過Mie理論,分析了不同尺寸氣泡的光散射行為,結果顯示當氣泡直徑超過90 nm時,散射效應顯著,且氣泡尺寸越大,其前向散射範圍越深、橫向影響範圍則較小。FDTD模擬進一步探討了氣泡散射波與影像形成光的交互作用,發現兩束與三束干涉光中,散射波干擾越多,圖像質量越差,尤其當氣泡直接位於光阻表面時,影響最為嚴重。此外,研究也模擬了移動氣泡的效應,結果顯示當1 μm氣泡的移動速度超過160 μm/s時,散射效應可被平均化,但散射引起的光暈仍可能影響圖像背景。最後,三維FDTD模擬驗證了氣泡散射對圖像形成的影響,並指出小於60 nm的氣泡對最終光阻圖像影響微乎其微。本研究對於理解氣泡散射效應及改善浸入式微影圖像質量具有重要意義。