Best Paper Awards - 33
Advancing Bioactive Material for Mandibular Bone Regeneration: Conversion of 2D Fibrous Mat into 3D Fibrous Sponge for Enhanced Shape Retention and Rapid Hemostasis, T. Shalini, S. Balakumar, presented at 2nd International Workshop on Crystalline Materials and Applications organized by Crystal Growth Centre, Anna University, Chennai – 600 025, 27th – 29th May 2024. 1st Prize Best poster presentation award.
Metal-Based Hydroxyapatite Nanoparticles as Adjuvants for Cancer Immunotherapy, Ashitha K.C, T. Shalini, S. Balakumar, Rajashree Padmanaban, in International Conference on Advanced Functional Materials and Devices (AFMD-2024) organized by Nanotechnology Research Centre (NRC), SRM Institute of Science and Technology, Chennai, 26th -29th February 2024. Best poster Award.
Unleashing Advanced Photocatalytic and Photoelectrocatalytic efficiency via the assemble of 2D/3D rGO-ZnO Nanostructures, Savithri Mylsamy, S Balakumar, presented at International Conference on Advanced Functional Materials for Next-Gen Applications 2024 (ICFMNA-2024), held on 23-24th January 2024, SSN College of Engineering, Chennai, Best Oral Presentation Award.
Investigating the Cr (VI) remediation performance of Zero Valent Iron- MWCNT composites, Nibin K Mathew, Theertharaman G, S Balakumar, presented at International Conference on Advanced Materials for Clean Energy and Health Applications (AMCEHA 2023), held on 19-20th September 2023, Coimbatore Institute of Technology, Coimbatore, India. Best Poster Presentation Award.
Influence of various wt% of Pr3+ ions on the properties of bioactive material: inquiry on structural and optical properties, S. Vijayakumari, S. Balakumar, presented at International Conference on Advanced Materials for Clean Energy and Health Applications (AMCEHA 2023), held on 19-20th September 2023, Coimbatore Institute of Technology, Coimbatore, India. Best Oral Presentation Award.
Achieving Enhanced Water remediation and Water Splitting Capability using 3D/2D Bi2WO6/rGO Nanocomposites under Visible-light Irradiation, Annamalai. K, Palanichamy K, Sasirekha N. R, and Balakumar. S, presented at 7th International Conference on Nanoscience and Nanotechnology (ICONN 2023) on 27th – 29th March 2023, organized by Department of Physics and Nanotechnology, SRM Institute of Science and Technology, Kattankulathur, Chennai, India- Best Poster Presentation Award
Preparation of Fe3O4 nanoparticles decorated on reduced Graphene oxide involves dye degradation by photocatalysis application, Theertharaman. G and Balakumar. S, presented at International Virtual Conference on advanced functional materials (ICAFM 2022) on 1st – 3rd December 2022, organized by Department of Physics, Koneru Lakshmaiah Education Foundation, Guntur, Andhra Pradesh- Best Oral Presentation Award.
Influence of Coating Parameters on Nano-hydroxyapatite Coated Ti Implants by Electrophoretic Deposition Technique, G. Radha, K. Kalki Kamini, N. Manjubaashini and S. Balakumar presented at “BC: Surface Engineering of Biomaterials” Symposium of Biomaterials Online Conclave 2022, 7 - 15th March 2022, organized by National Centre for Nanoscience and Nanotechnology, University of Madras, Chennai. Best Oral Presentation Award.
Investigation on the Physicochemical Properties of Electrospun HAP Embedded PCL Fiber for Biomedical Application, Rohith Vinod K, G Radha, S. Balakumar, presented at “BA: Bone Biomaterials” Symposium of Biomaterials Online Conclave 2022, 7-15 March 2022, National Centre for Nanoscience and Nanotechnology, University of Madras, SBAOI, STERMI, & NIGIS. Best Poster Presentation Award.
Period of ageing – An imperative parameter to inspect while designing 45S5 bioactive glass, S. Vijayakumari, K. Elakkiya, S. Balakumar, presented at “BG: Challenges and Opportunities in Biomedical industries” Symposium of Biomaterials Online Conclave 2022, March 7-15, 2022, organized by National Centre for Nanoscience and Nanotechnology, Chennai, SBAOI, STERMI, & NIGIS. Best Oral Presentation Award.
Tailored Bioactive hydrogel Membrane for Periodontal Tissue regeneration, P. Bargavi, R. Priya, R. Riju Chandran, R. Ramya, S. Balakumar, (NCHSM -2020), 21st-22nd Feb 2020 at SRM IST, Chennai. Best Presentation Award.
Development of Single-phase Bioactive material to enhance Bioactivity and Mechanical stability towards Biomedical Application, S. Vijayakumari, S. Chitra, S. Chandramohan and S. Balakumar, (NCHSM -2020), 21st-22nd Feb 2020 at SRM IST, Chennai. Best Presentation Award.
A Novel Approach Towards the Preparation of Sm2Fe17 Alloy: A Base Material for Sm- Fe-N Permanent Magnets, Rohith Vinod K and S. Balakumar, International Conference on Advanced Materials (ICAM-2019), 6th-7th March 2019, Jamia Millia Islamia (A Central University), New Delhi. Best Paper Award.
Preparation and Characteristics of Copper Oxide/Water based Nanofluids, M. Balasubramaniam and S. Balakumar, 3rd Asia-Oceania Sonochemical Society Conference, AOSS 3-2017, 14th-16th, September 2017, SRM University. Best Poster Award.
Bio-Activity, Hemocompatibility, Antimicrobial Activity and Cell Proliferation of rGO/nBG Composites, C. Ashok Raja, D. Durgalakshmi, B. AnandKumar, Rani P. George, U. Kamachi Mudali and S. Balakumar, Chennai Nanogathering: National Conference on Nanomaterials and Nanobiotechnology (CNG’NCNN-2017), 7th-8th February 2017. Best Poster Award.
Tuning of Magnetic Behaviour of Graphene Oxide Sheets by Anchoring of Magnetic Nanoparticles on its Surface, Rohith Vinod K, P. Saravanan, S. Balakumar, Chennai Nanogathering: National Conference on Nanomaterials and Nanobiotechnology (CNG’NCNN-2017), 7th-8th February 2017. Best Poster Award.
Deriving Magnetic Iron Oxide Nanoparticles from Natural Resources for Biomedical Applications, S. Chitra, P. Bargavi, D. Durgalakshmi, P. Rajashree and S. Balakumar, Chennai Nanogathering: National Conference on Nanomaterials and Nanobiotechnology (CNG’NCNN-2017), 7th-8th February 2017. Best Poster Award.
Investigation on the Reduced Graphene Oxide Integrated Iron Based Magnetic Nanocomposites, Rohith Vinod. K, P. Saravanan, M. Sakar and S. Balakumar, ISRS-2016, Department of Metallurgical and Materials Engineering, IIT Madras, Dec 21-23, 2016. Best Presentation Award.
Nano Bioactive glass – a prominent material for Orthopedic and dental applications, P. Bargavi, S. Chitra, D. Durgalakshmi, P. Rajashree and S. Balakumar, 1st Annual conference & workshop of Indian society of nanomedicine, Nanobioteck – 2016, AIIMS, New Delhi, 24th-26th November 2016. Best Poster Award.
Hybrid Nanostructured Graphene-Zinc antimonate as Supercapacitor Electrode Materials, M. Balasubramaniam and S. Balakumar, Nanomeet-2016, Centre for Nanoscience and Technology, Anna University, Oct 6-7th 2016. Best Presentation Award.
A study on the TiO2/bioglass composite on biomedical application, P. Bargavi, D. Durgalakshmi, S. Chitra and S. Balakumar, 4th National Conference on Hierarchically Structured Materials, 4th–5th March 2016, SRM University, Chennai. Best Poster Award.
Carbonated multiferroic bismuth ferrite (BiFeO3) nanostructures for the pollutant degradation applications, S. Bharathkumar, M. Sakar and S. Balakumar, National Conference on Science and Technology for Indigenous Development in India, 26-28th November 2015, SRM University, Chennai. Best paper award.
Anisotropic Nanostructures of Multiferroic Bismuth Ferrite (BiFeO3) by Wet Chemical Techniques, M. Sakar, S. Bharathkumar, Rohith Vinod K. and Balakumar, Recent Advances in Nano Science and Technology (RAINSAT-2015), 8-10th July 2015, Sathyabama University, Chennai. Best Paper Award.
24. Synthesis and visible light driven photocatalytic properties of zinc silver antimonate (ZAS) nanocomposites: The effect of capping agent, M. Balasubramaniam and S. Balakumar, National Seminar on Recent Advances in Functional Materials (RAFM’15), 15th March 2015, Yogi Vemana University, Kadapa 516 003, Andhra Pradesh. Best Poster Award.
Eco-Friendly Synthesis of Bismuth Ferrite (BiFeO3) Nanostructures for the Enhanced Optical and Photocatalytic Properties, S. Bharathkumar, M. Sakar, Rohith Vinod K. and S. Balakumar, National Seminar on Recent Advances in Functional Materials (RAFM’15), 15th March 2015, Yogi Vemana University, Andhra Pradesh. Best Poster Award.
Dimensionalities Induced Magnetic and Photocatalytic Properties in Bismuth Ferrite (BiFeO3) Particulate and Fiber Nanostructures M. Sakar, S. Bharathkumar, Rohith Vinod K. and S. Balakumar, National Seminar on Recent Advances in Functional Materials (RAFM’15), 15th March 2015, Yogi Vemana University, Andhra Pradesh. Best Poster Award.
Fabrication of Bi1-XDyxFeO3 thin films by spin and dip coating techniques: A comparative study on the structural, morphological and optical properties, M. Sakar, K. Selva Shamili, S. Balakumar and S. N. Jaisankar, Nanomeet’13, 19-20th September 2013, Anna University, Chennai. Best poster award.
Observation of defect driven ionic conductivity in Gd doped Barium Cerate Nanostructures, S. Jayashree, M. Sakar and S. Balakumar, Second International Workshop on Advanced Functional Materials (SIWAN’13), January 2830th 2013, Anna University, Chennai. Best poster award.
Preparation and characterization of nanobioglass and its composites, Durgalakshmi D, Subathirai S.P, S. Balakumar, Programme: Association of Nanoscience and Nanotechnology Aspirants (ANNA) presents Nanomeet-2012, Anna university, Chennai, 27-28th, Feb 2012. Best Paper Award.
Effect of Gold Chloride Concentration on Silver Triangular nano-platelets at Different HAuCl4:Na3C6H5O7 Ratios, P. Parthiban, K. Sakar and S. Balakumar, National Seminar on Advanced Materials: Processing and Applications (NSAMPA-2012), 27th-30th March 2012. Best Paper Award.
Effect of metal ion dopant on the Structural and Luminescence properties of ZnO nanostructures R. Vallikodi, R. Balaji, R. Baranidaran, R. Ajay Rakkesh, S. Balakumar, National conference on popularization of chemical sciences, Jan 2012, Gurunanak college, Chennai. Best Paper Award.
Preparation and Characterization of Nano-Porous Alumina Templates for Nanostructures, M. Sakar, S. Balakumar, 2010. Best Paper Award.
S. Balakumar, “Growth and characterization of nucleation active sites of Barium Strontium Titanate Single crystals”, Seminar on Materials and characterization”, CECRI, Karaikudi, 13th July, 1998. Best Paper Award.
Books- 5, Book Chapters: 6
Books Published/Edited-4
Editor: Functional Materials for Energy, Environment and Biomedical Applications (FARAON-2022), Special Issue in Materials Todays Proceedings (2022), Elsevier. Smagul Karazhanov, Jeyanthinath Mayandi, Vishnukanthan Venkatachalapathy, S. Balakumar, Sujin Jose Volume 64, Part 5, Pages A1-A6, 1661-1864 (2022)
Editor: Nanostructured Materials for Environmental Applications, 2020 ( Springer Seiess)
Editor of “Nanostructured Materials for Energy Related Applications”, Springer publishing, Saravanan, R. (Ed), Naushad, M. (Ed), Balakumar, S. (Ed), 2019.
Editor of Proceedings of Special Issue "Selected Papers from ICMAT Symposium M “Advanced Nano and Polymeric Materials for Biological and Health Care Applications”
Editor of Science and Technology of CMP, MRS, and San francisco Proceedings, 2009
Books Chapters-6
Nanostructured Heterojunction (1D-0D and 2D-0D) Photocatalysts for Environmental Remediation;Lakshmana Reddy Nagappagari, Kiyoung Lee, Ajay Rakesh, Subramanian Balakumar, M V Shankar; Nanostructured Materials for Environmental Applications; pp 65-84, Spring Book Series Ed Balakumarl Shankar, Kelle et al 33-63, 2021
Hierarchical Nanostructures for Photocatalytic applications; R. Ajay Rakkesh, D. Durgalakshmi, M.V. Shankar and S. Balakumar, Book Chpater in Nanostructured Materials for Environmental Applications, Springer, 2020, ISBN: 978-3-030-72075-9,475681_1_En
Book Chapter on Functional nanomaterial in energy and environmental science; D Durgalakshmi, S Balakumar, Saravanan Rajendran, Mu Naushad, Elesvier Book on Nanomaterials for Sustainable Energy and Environmental Remediation, Materials Today;2020, Pages 1-23, ISBN: 9780128193556
Book Chapter on “Nanocomposites for Visible Light-induced Photocatalysis” edited by Khan, Mohammad Mansoob, Pradhan, Debabrata, Sohn and Youngku, Springer publishing, 2017, ISBN 978-3-319-62445-7.
Book Chapter on Preparation, properties and application of hybrid nanomaterials in sensing of environmental pollutants, "Hybrid Nanomaterials: Advances in Energy, Environment and Polymer Nanocomposites" edited by S. K. Srivastava and V. Mittal, Wiley and Scrivener Publishing, USA, 2017, ISBN 978-1-119-16034-2.
Book Chapter: (On Introductory Nanoscience and Nanotechnology, Ref Course on Condensed Matter, 2010).
Patents-14- US Patent: 8 ( approved,) Filed-1; Indian Patent: 5 ( filed)
CMP uniformity, U.S. Patent: 6,248,006, June 19, 2001.
Method for improving oxide erosion of tungsten CMP operations, U. S. Patent: 6,569,770, May 27, 2003.
Method to form shallow trench isolations, U.S. Patent: 6,649,486, November 18, 2003
Multiple steps CMP polishing, U. S Patent: 6,663,472, December 16, 2003
Linear polishing for improving substrate uniformity, U. S Patent: 6,726,545, April 27, 2004
High K artificial lattices for capacitor applications to use in CU or AL BEOL, Patent No. 6,830,971, December 14, 2004
High K artificial lattices for capacitor applications to use in Cu or Al BEOL, S Balakumar, CH Ang, JZ Zheng, P Proctor, US Patent 7,095,073, 2006.
Method of optical loss reduction for waveguides in electronic and optical components integration (PAT06-059/SPT-NDT-014), - Filed in US
Disclosure: Method of Controlling the Shape and Strain in SiGe or Ge Nanowires (Know – how- 2008)
A device for continuous Monitoring of oxygen saturation level and pulse rate and the method involved thereof, Application number: 2020421047644, Filed on 06/11/2020
An Oximeter device for Detecting the arterial blood gas in the Transmucosal Region of the oral cavity, Application Number: 2020421037063, Filed on 11/09/2020.
Rapid Extraction of Nanostructured Biogenic Hydroxyapatite from Bio-waste, 2023Filed.
Bioresorbable haemostatic sponge material for rapid blood clot application, 2023-Filed.
Bio-functionality armed dynamically active Bi-layered scaffold as Osteopenic defender and Tissue regenerative stimulant Filed-2024.
Research Articles- 337 articles
Multifunctional and sustainable hydroxyapatite from natural products for biomedical and industrial applications – A comprehensive review, Rinusuba V, Gayathri B., Muthukumarasamy N., Marimuthu K., Balaji A. C., Sridhar N., Balakumar Subramanian, Dhayalan V.. Sustainable Chemistry and Pharmacy 2024. (Impact Factor 6.0).
Productizing Nano-Bioactive Glass-Based Bilayer Scaffolds: A Graft for Reconstruction of Mandibular and Femoral Bone Defects. Elakkiya Krishnamoorthy, Bargavi Purushothaman, Balakumar Subramanian, ACS Applied Materials & Interfaces. ACS Appl. Mater. Interfaces 2024, 16, 19, 25317–25332 (Impact Factor 9.5).
Carbon quantum dots derived from agro waste biomass for pioneering bioanalysis and in vivo bioimaging, N. Manjubaashini, P. Bargavi, S. Balakumar, Journal of Photochemistry and Photobiology A: Chemistry, 454, 115702. (Impact Factor 4.3).
Biomass-derived vanadium-based MAX phase nanostructures as stabilizer-free materials for symmetric supercapacitors TB Naveen, D Durgalakshmi, S Balakumar, RA Rakkesh. Emergent Materials, 1-11. (Impact Factor 3.8).
Progress in Flexible Supercapacitors for Wearable Electronics Using Graphene-based Organic Frameworks, S. Shalini, T. B. Naveen, D. Durgalakshmi, S. Balakumar, R. Ajay Rakkesh, Journal of Energy Storage, 2024, (Impact Factor 9.4).
Possibilities and Challenges of Cathode Materials for Zn-Ion Batteries, R. Ajay Rakkesh, S. Shalini, S. Tharani, D. Durgalakshmi, S. Balakumar, Energy Advances, 2024,
Enhancing self-powdered wearable device performance: ZIF-8/rGO hybrid nanostructures for extended operation and electrochemical glucose detection, PN Blessy Rebecca, D Durgalakshmi, S. Balakumar, R Ajay Rakkesh, Chemical Engineering Journal, 484, 2024, 149789 (Impact Factor 15.1).
Devitrite (Na2Ca3Si6O16) phase dominated nanostructured 45S5 bioactive glass: exploring its structural and biological properties, K. Elakkiya, C. Ashok Raja, S. Balakumar, Biomedical materials, 19, 2024, 025039. (Impact factor 4.0).
Synergetic Advancements in Electromagnetic Interference shielding: Harnessing Fe3O4 Nanoparticles and Biomass-Derived N-Doped Carbon Layered Structure for Next Generation Nanocomposites, Theertharaman Govindasamy, Nibin Kolanjikombil Mathew, Vinaya Kumar Asapu, Venkatachalam Subramanian, Balakumar Subramanian, ACS Sustainable Resource Management, 2024.
Crucial Chemical Revelations in 45S5 Bioactive Glass via Sequential Precursor Integration Order, Vijayakumari Sugumaran, A. J. Pavithra, Bargavi Purushothaman, Balakumar Subramanian, ACS Applied Bio Materials, 2024. (Impact factor 4.81).
Multifunctional bandage-bioactive glass/metal oxides/alginate composites based regenerative membrane facilitating re-epithelialization in diabetic wounds with sustained drug delivery and anti-bactericidal efficacy, P. Bargavi, S. Balakumar, S. Raghunandhakumar, International Journal of Biological Macromolecules, 262, 2024, 130054. (Impact factor 8.2).
Modulating the structural and magnetic properties of Fe3O4 NPs for high-performance supercapattery and EMI shielding applications, Theertharaman Govindasamy, Nibin Kolanjikombil Mathew, Vinaya Kumar Asapu, Venkatachalam Subramanian, Balakumar Subramanian, Journal of Power Sources, 485, 2024, 229336. (Impact factor 9.4).
Influence of anodization in electrophoretic deposition of strontium substituted hydroxyapatite coatings, G Radha, S Balakumar, Materials Letters, 357, 2024, 135778. (Impact factor 3.0).
Systematic exploration of defect-rich 2D nanopetal assembled 3D ZnO nanoflowers for improved photocurrent generation and photocatalytic performance, Savithri Mylsamy, Theertharaman Govindasamy, Balakumar Subramanian, Journal of Environmental Chemical Engineering, 12, 2024, 111700. (Impact factor 7.7).
Enhancing Interplanar Spacing in V2O3/V3O7 Heterostructures to Optimize Cathode Efficiency for Zn-Ion Batteries, Tharani Selvam, Durgalakshmi Dhinasekaran, Balakumar Subramanian, Ajay Rakkesh Rajendran, J. Phys. Chem. Lett. 15, 2024, 1338–1346. (Impact factor 5.7).
Evaluating the microwave absorbing performance of polymer-free thin Fe3O4− MWCNT NCs in X-band region Theertharaman Govindasamy, Nibin Kolanjikombil Mathew, Vinaya Kumar Asapu, Venkatachalam Subramanian, Balakumar Subramanian, Surfaces and Interfaces, 44, 2024, 103716. (Impact factor 6.2).
A high valence binary metal–organic framework as an electrode material for aqueous asymmetric supercapacitors TB Naveen, D Durgalakshmi, S Balakumar, R Ajay Rakkesh, Journal of Chemical communications, 06, 2024, 208-211. (Impact factor 4.9).
Chitosan bioactive glass scaffolds for in vivo subcutaneous implantation, toxicity assessment, and diabetic wound healing upon animal model, N. Manjubaashini, P. Bargavi, Nebu George Thomas, Nikhil Krishnan, S. Balakumar, International Journal of Biological Macromolecules, 256, 2024, 128291 (Impact factor 8.2).
A high valence binary metal–organic framework as an electrode material for aqueous asymmetric supercapacitors, TB Naveen, D Durgalakshmi, S Balakumar, RA Rakkesh, Chemical Communications, 2024, (Impact factor 6.2).
Rapidly derived equimolar Ca: P phasic bioactive glass infused flexible gelatin multi-functional scaffolds – A promising tissue engineering, Priya Ranganathan, Vijayakumari Sugumaran, Bargavi Purushothaman, Ajay Rakkesh Rajendran, Balakumar Subramanian, Journal of the Mechanical Behaviour of Biomedical Materials, 150, 2024,106264, (Impact factor 3.9).
Unveiling the Ultrahigh-Voltage Platform of Hydrated V3O7 in Carbon Network for Enhancing Aqueous Zinc-Ion Batteries Performance Tharani Selvam, Naveen T. Bharanitharan, Durgalakshmi Dhinasekaran, Balakumar Subramanian, Ajay Rakkesh Rajendran, ACS Appl. Energy Mater. 7, 2024, 93-103 (Impact factor 6.9).
Covalent organic frameworks: Pioneering remediation solutions for organic pollutants, R Ajay Rakkesh, TB Naveen, D Durgalakshmi, S Balakumar, Chemosphere, 2024, 346, 140655. (Impact factor 8.8).
High-performance EMI shielding effectiveness of Fe3O4 –3D rPC nanocomposites: a systematic optimization in the X-band region, Theertharaman Govindasamy, Nibin Kolanjikombil Mathew Vinaya Kumar Asapu, Vijayshankar Asokan, Venkatachalam Subramanian and Balakumar Subramanian, Physical Chemistry Chemical Physics, 25, 2023, 30501-30515 (Impact factor 3.3).
Evaluating the microwave absorbing performance of polymer-free thin Fe3O4 –MWCNT NCs in X-band region, Theertharaman Govindasamy, Nibin Kolanjikombil Mathew, Vinaya Kumar Asapu, Venkatachalam Subramanian, Balakumar Subramanian, Surfaces and Interfaces, 2023, 103716 (Impact factor 6.2).
Lattice distortion-driven band gap engineering and enhanced electrocatalytic activity of Mn-substituted nanostructured SrTiO3 materials: A comprehensive investigation, Savithri Mylsamy, Smagul Karazhanov, Balakumar Subramanian, Chemosphere, 346, 2024, 140577 (Impact factor 8.8)
Assisted assembling of Bi2WO6/rGO composites: A 3D/2D Hierarchical nanostructures for enhanced photocatalytic water remediation and photo-(electro) catalytic water splitting proficiency, Annamalai K, Sasirekha Natarajan, Balakumar S, Chemosphere, 345, 2023, 140488 (Impact factor 8.8)
Rational design of an innovative hybrid biosensor utilizing functionalized ZnO-Cys-graphene ternary composite for enzyme-free glucose detection, PNB Rebecca, A Krishna, D Durgalakshmi, S Balakumar, RA Rakkesh, Surface and Interfaces, 42, 103275 (2023). (Impact factor 6.2)
Bright red frequency up conversion and blue frequency down conversion in asparagine-chelated Nd3+/Tb3+ co-doped tetragonal orthovanadate nanoparticles, T Vairapperumal, B Subramanian, Materials Chemistry and Physics, 2023. (Impact factor 4.6)
Hydrothermal integration of MoO2-MoS2@rGO nanoframe networks: A promising approach for efficient bacterial disinfection in wastewater, S Tharani, PNB. Rebecca, D. Durgalakshmi, S. Balakumar, R. Ajay Rakkesh, Chemosphere, 2023, 140273. (Impact factor 8.8)
Unravelling the nature-inspired silk sericin-calcium phospha zincte hybrid nanocomposites: A promising sustainable biomaterial for hard tissue regeneration applications, T. Shalini, G. Pavithra, R. Ajay Rakkesh, S. Balakumar, Surfaces and Interfaces, 2023, 103396. (Impact factor 6.2)
Probing the biocompatibility and antioxidant properties of cissus quadrangularis conjugated bioactive glass and hydroxyapatite towards regeneration application, S. Chitra, S. Rajeshkumar, R. Ramya, P. Bargavi, S. Balakumar, Inorganic chemistry communications, 2023, 111398. (Impact factor 3.8)
Unveiling pro-angiogenesis and drug delivery using dual-bio polymer with bio-ceramic based nanocomposite hydrogels, K. Elakkiya, P. Bargvai, S. Balakumar, Chemosphere, 2023, 140131. (Impact factor 8.8)
3D interconnected porous PMMA scaffold integrating with advanced nanostructured CaP-based biomaterials for rapid bone repair and regeneration, K. Elakkiya, P. Bargvai, S. Balakumar, Journal of the Mechanical Behaviour of Biomedical Materials, 2023, 106106. (Impact factor 3.9)
Hybrid Zinc-Air Battery (ZAB) with Transition Metal-Based Electrocatalysts- A step Towards Next Generation Electrochemical Energy Storage, S. Tharani, D. Durgalakshmi, Aruna KK, S Balakumar, R. Ajay Rakkesh, WIREs Energy and Environment, Wiley-VCH, 2023 (Impact Factor - 6.1)
Extricating the effect of sodium monovalent cation in the crystallization kinetics of bioactive glass and its influence on bioactivity, Vijayakumari Sugumaran, Annamalai Kamalakkannan, Balakumar Subramanian, Materials Chemistry and Physics, Volume 305, 1 September 2023, 127897, (Impact factor 4.6)
Biomimetic scaffold development for bone tissue engineering: Crosslinking graphene with collagen to enhance mechanical strength, conductivity, and porous structure. Rebecca, P.B., Durgalakshmi, D., Balakumar, S. and Rakkesh, R.A., 2023. Journal of Materials Research, pp.1-10. (Impact factor 2.7)
Insights on the electrochemical properties of lattice strain induced layered V2O3–Al2O3 nanocomposites derived from the carbonization process. TB Naveen, D Durgalakshmi, J Mohanraj, Aruna K Kunhiraman, S Balakumar, R Ajay Rakkesh J Mater Sci: Mater Electron 34, 1636 (2023).
Structural and lattice dynamics investigation of double luminescent nanosized hybrid Gd2O3, Tamilmani Vairapperumal, Sasank Pattnaik, Vineet Kumar Rai, Balakumar Subramanian, Materials Today Communications, Volume 36, August 2023, 106500. (Impact factor 3.8)
Layered Structures of Enriched V5+ States of Vanadium Oxide as a Hybrid Cathode Material for Long-Cyclable Aqueous Zinc-Ion Batteries Tharani Selvam, Tharani Selvam, Durgalakshmi Dhinasekaran, Balakumar Subramanian, and Ajay Rakkesh Rajendran, ACS Applied Materials and Interfaces, 2023, 15, 25, 30350–30359 (Impact factor 9.5)
Eco-Friendly Process of Synthesizing Hybrid 3d Graphene–Collagen Hydrogel Scaffolds for Biomimetic Applications. P N, B.R., Durgalakshmi, D., Balakumar, S. and Rajendran, A.R., SSRN electronic journal, 2023.
Tuning the surface ordering of different charged surfactants for the controlled fabrication of monetite calcium phosphate via microwave synthesis process, T. Shalini, R. Ajay Rakkesh, P. Bargavi, S. Balakumar,Surfaces and Interfaces, Volume 40, 2023, 103089. (Impact factor 6.2)
Nano-hydroxyapatite/Natural Polymer Composite Scaffolds for Bone Tissue Engineering: A Brief Reciew of Recent Trend, G.Radha, N. Manjubaashini and S.Balakumar, Invitro Models, 2023.
Bioceramic and Polycationic Biopolymer Nanocomposite Scaffolds for Improved Self-Wound Healing and Anti-Inflammatory: An In vitro Study, N. Manjubaashini, P.Bargavi, S. Balakumar, RSC Biomaterials Science, 2023 (Impact factor 6.6)
Synergistic Effect in g-C3N4/CuO Nanohybrid Structures as Efficient Electrode Material for Supercapacitor Application, S.Surender, M.N. Kavipriyah, S.Balakumar, Journal of Inorganic Chemistry Communications 2023, Volume 150, April 2023, 110557 (Impact factor 3.8)
Performance of crystalline Si solar cells and module on temperature and illumination intensity, Bazarbayev, R.B., Yakubov, K.R., Kurbanov, D.S., Allaniyazov, A.I., Balakumar, S., Kamalov, A.B., Janabergenova, G., Wei, Q., Qian, H., Polvonnazirov, I.U. and Karazhanov, S.Z.,Materials Today: Proceedings, 64, pp.1661-1665, 2023 (Impact factor 2.59)
Fabrication of composition-controlled MOCVD grown InxGa1-xN based MSM photodetectors, KB S.Surender, K. Prabakaran, S. Pradeep, I. D. Jacob, Y. F. Lu, S. Balakumar, Optical Materials, 136, 2023,113462 (Impact Factor: 3.574)
Advancing Healthcare Applications: Wearable Sensors Utilizing Metal-Organic Frameworks, PN, B.R., Durgalakshmi, D., Balakumar, S. and Rajendran, A.R., 2023. Sensors & Diagnostics.
Dual therapeutic 5-fluorouracil and hesperidin loaded chitosan nanocarrier system: Understanding its synergism on anti-cancer activity, G Radha, S Raghunandhakumar, S Balakumar, Journal of Drug Delivery Science and Technology, 2023, 104184 (Impact Factor: 5.062)
High Temperature stabilized Defect Pyrochlore Bi2-xFexWO6 nanostructures and their effect on Photocatalytic Water Remediation and Photo-electrochemical Oxygen Evolution Kinetics; Annamalai K, R Sridhar, S Vijayakumari, Balakumar.S, RSC Catalysis Science & Technology, 2023 (Impact Factor: 6.177)
Cognizing the electromagnetic shielding performance of ultrafine magnetite (Fe3O4) and a few layers of carbon black nanocomposite in the X-band region. G. Theertharaman, A. Vinaya Kumar, Nibin K Mathew,V. Subramanian, P. Saravanan, S. Balakumar. Materials Science and Engineering: B, 288, 2023, 116166. (Impact factor-3.407)
Influence of varying thermal treatment on bioactive material with equal Ca/P ratio: A local drug delivery system for bone regeneration, Elakkiya.K,Vijayakumari. S, G. Radha, P. Bargavi, Balakumar. S, Journal of Biomedical Materials Research Part B: Applied Biomaterials,2023, 1-14. (Impact factor-3.405)
Probing the influence of liquid nitrogen assisted chemical reduction on the nature of passivation layer, magnetic properties, and Cr (VI) remediation performance of nanoscale zero valent iron. Nibin K Mathew, K Rohith Vinod, G Theertharaman, M Navaneethan, S Balakumar, Journal of Environmental Chemical Engineering, 11-, 109096, 2023. (Impact factor-7.968)
Investigation on evaluation of Fe3S4–Carbon black nanohybrids for EMI shield in X-band region. G Theertharaman, Nibin K Mathew, A Vinaya Kumar, V Subramanian, S Balakumar, Diamond and Related Materials, 131, 2023, 109608. (Impact factor-3.806)
Invigorating chronic wound healing by nanocomposites composed with bioactive materials: a comprehensive review. N. Manjubaashini, G. Radha, S. Balakumar, Biotechnology Letters, 44, 2022, 1243-1261. (Impact factor-2.716)
Futuristic Advancements in Biomass-Derived Graphene Nanoassemblies: Versatile Biosensors for Point-of-Care Devices. S. Tharani, D. Durgalakshmi, S. Balakumar, R. Ajay Rakkesh, Chemistry Select, 7, 2022, 40. (Impact factor-2.307)
Influence of pH in the Synthesis of Calcium Phosphate based Nanostructures with enhanced Bioactivity and Pro-Angiogenic Properties. T. Shalini, K. R. Ajay Rakkesh, S. Balakumar, Journal of Biomedical Materials Research Part -B, 2022,1-14. (Impact factor-3.405)
An Enduring In vitro Wound Healing Phase Recipient by Bioactive Glass-Graphene Oxide Nanocomposites, N. Manjubaashini, T. Daniel Thangadurai, Senthilarasu Sundaram, Anurag Roy, S. Balakumar, Scientific Reports, 12, 2022, 16162 (Impact factor-5.516)
Influence of varying thermal treatment on bioactive material with equal Ca/P ratio: A local drug delivery system for bone regeneration, Elakkiya.K,Vijayakumari. S, G. Radha, P. Bargavi, Balakumar. S, Journal of Biomedical Materials Research Part B: Applied Biomaterials,2022, 1-14. (Impact factor-3.405)
Insight into the melamine-derived freeze-dried nanostructured g-C3N4 for expeditious photocatalytic degradation of dye pollutants, S.Surender, S.Balakumar, Diamond and Related Materials, Volume 128, 2022, 109269. (Impact factor-3.315)
Scalable approach to fabricate paper-based Biomass reduced graphene sensor for the detection of exhaled diabetic breath, Rajendran Ajay Rakkesh, Dhanasekaran Durgalakshmi, Subramanian Balakumar, Nanotechnology, 33, 2022, 495703. (Impact factor-3.874)
Strategies of Bioceramics, Bioactive Glasses in Endodontics: Future Perspectives of Restorative Dentistry, S Chitra, Nibin K Mathew, S Jayalakshmi, S Balakumar, S Rajeshkumar, R Ramya, BioMed Research International,12, 2022, 2530156 (Impact factor-3.411)
Lattice composition dictated photocatalytic activity of bismuth chromium niobate pyrochlore under visible light, R. Radha, K. Rohith Vinod, K. Annamali, M. Navaneethan, S. Balakumar, Material Today Proceddings, 2022, 64, 1768-1777.
Enchanced photocatalytic activity of TiO2nantubes arrays decorated with Ag and Pt nanoparticles, A. Tamilselvan, D. Durgalakshmi, R. Ajay Rakkesh, S. Balakumar, Material Today Proceddings, 2022, 64, 1822-1831.
Egg white-mediated synthesis of BiFeO3 cubes and their enhanced photocatalytic degradation properties under solar irradiation, S Bharathkumar, M. Sakar, S.Balakumar, Journal of Materials Science:Materials in Electronics, 1-10. (Impact factor-2.478).
Insight into the investigation on nanostructured defect pyrochlore Bi2-xFexWO6 and its photocatalytic degradation of mixed cationic dyes, K.Annamalai, R. Radha, S.Vijayakumari, S.E.Kichanov, S.Balakumar, Materials Science in Semiconductor Processing, Volume 150, 2022, 106961. (Impact factor-3.927).
Electromagnetic shielding performance of reduced graphene oxide reinforced iron oxide nanostructured materials prepared by polyol method, Theertharaman Govindasamy, Manjubaashini Nandhakumar, NibinKolanjikombil Mathew, Rohith Vinod Kulangara, Vinaya Kumar Asapu, Saravanan Padmanapan, Daniel Thangadurai Thangaian, S. Balakumar, Journal of Materials Research, 37 (6), 1216-1230. (Impact factor-3.089)
A comprehensive review on functionalized hydroxyapatite nanostructures-based gas sensors for environmental pollutant monitoring, N. Manjubaashini, G. Radha, S.Balakumar, Journal of Materials NanoScience, 9(1), 47-60.
Unscrambling the influence of sodium cation on the structure, bioactivity and erythrocyte compatibility of 45S5® bioactive glass, Vijayakumari. S, Elakkiya.K, Annamalai.K, Riju Chandran. R, Balakumar.S, ACS Applied Biomaterials,2022, 5, 4, 1576–1590.
Unravelling the effects of ibuprofen-acetaminophen infused copper-bioglass towards the creation of root canal sealant, Chitra S, Riju Chandran R, Ramya R, Durgalakshmi D, S. Balakumar, Biomedical Materials, 17(3), 035001. (Impact factor-3.7)
Drug infused Al2O3-bioactive glass coatings toward the cure of orthopedic infection, P. Bargavi, R. Riju Chandran, D. Durgalakshmi, P. Rajashree, R. Ramya, S. Balakumar, Progress in Biomaterials, 2022, 1-16, doi: 10.1007/s40204-022-00181-y.
Coexistence of Ferri and Ferromagnetic in cobalt substituted samarium iron garnet, K. Rohith Vinod, Nibin K Mathew, G. Theertharaman, R. Radha, K. Sethupathi, P. Saravanan, S. Balakumar, Materials Science and Engineering: B, 2022, 276, 115521 (Impact factor – 4.051)
Biomass-Derived Graphene-Based Nanocomposites: A Futuristic Material for Biomedical Applications, PN Blessy Rebecca, D. Durgalakshmi, S. Balakumar, RA. Rakkesh, Chemistry Select, 2022, 7, e202104013 (Impact factor- 2.109)
Selective room temperature ammonia gas sensor using nanostructured ZnO/CuO@ graphene on paper substrate, Mohanraj.J, Durgalakshmi.D, Ajay Rakkesh.R, and Balakumar Subramaniam, Sensors and Actuators B:Chemical 350 (2022): 130833. (Impact factor-7.335).
Role of bioglass in enamel remineralization: Existing strategies and future prospects-A narrative review, Ramya Ramados, RajashreePadmanaban, Balakumar Subramanian, J Biomed Mater Res B ApplBiomater. 2022, 110, 45-66 (Impact factor- 3.405)
Graphene-Ag2S hybrid nanostructures: A hybrid gas sensor for room temperature hydrogen sensing application, R. Aysha Parveen, R. Ajay Rakkesh, D. Durgalakshmi, S. Balakumar, Materials Letters, 2021 (Impact factor – 3.018)
Cognizing the Crystallization Aspects of NaCaPO4 Concomitant 53S Bioactive-structures and their Imprints in In-vitro Bio-mineralization, R. Riju Chandran, S. Chitra, S. Vijayakumari, P. Bargavi, S. Balakumar, New Journal of Chemistry, 2021, 45, 15350-15362 (Impact factor – 3.591)
Pulsed laser deposition of nanostructured bioactive glass and hydroxyapatite coatings: Microstructural & electrochemical characterization, D. Durgalakshmi, Gobi Saravanan Kaliaraj, Mohanraj J, R. Ajay Rakkesh, ArunaPrakasarao, Singaravelu Ganesan, S. Balakumar, Materials Science and Engineering: C, 2021, 130, 112459 (Impact factor – 7.328)
Recent advances in graphene-based micro-supercapacitors: Processes and applications, TB Naveen, D. Durgalakshmi, Aruna K Kunhiraman, S. Balakumar, R. Ajay Rakkesh, Journal of Materials Research, 2021, 36, 4102-4119 (Impact factor – 3.089)
Enhanced photocatalytic performance of CuFeO2-ZnO heterostructures for methylene blue degradation under sunlight, S. Preethi, S. Vivek, R. Priya, S. Balakumar, K. Suresh Babu, Journal of Materials Science: Materials in Electronics, 2021, 32, 22256-22269 (Impact factor – 2.478)
Pressure-induced structural phase transitions in bismuth tungstate Bi2WO6, Olga N Lis, Sergey E Kichanov, Denis P Kozlenko, Nadezhda M Belozerova, Evgeniy V Lukin, Subramanian Balakumar, Boris N Savenko, Acta Crystallographica Section B: Structural Science, Crystal Engineering and Materials, 2021, B77, 488-494 (Impact factor – 2.266)
Interfacial engineering in 3D/2D and 1D/2D bismuth ferrite (BiFeO3)/Graphene oxide nanocomposites for the enhanced photocatalytic activities under sunlight, S. Bharathkumar, M. Sakar, J. Archana, M. Navaneethan, S. Balakumar, Chemosphere, 2021, 284, 131280 (Impact factor – 7.086)
Icebath Assisted BiMn2O5 (Mullite) Phase Synthesis, Structural and compositional Analysis under Different Bi concentration, K. Annamalai, R Radha, S.E. Kichanov, M. Navaneethan, S. Balakumar, ECS Journal of Solid-State Science and Technology, 2021, 10, 061001. (Impact factor – 2.142)
Controlled synthesis of photoactive gallium based sillenite single crystal and its application in environmental remediation, V Raja Preethi, R Radha, Rohith K Vinod, S. Balakumar, Bhavana Gupta, Shubra Singh, Solar Energy, 2021, 220, 890-900 (Impact factor – 5.742)
Metal chalcogenide-based core/shell photocatalysts for solar hydrogen production: Recent advances, properties and technology challenges, VN Rao, P Ravi, M Sathish, M. Vijayakumar, M. Sakar, Mani Karthik, S. Balakumar, KR Reddy, Nagaraj P Shetti, Tejraj M, Aminabhavi, MV Shankar, Journal of Hazardous Materials, 2021, 415, 125588 (Impact factor – 10.58)
Bio-inspired Multifunctional Collagen/Electrospun Bioactive Glass Membranes for Bone Tissue Engineering applications. Durgalakshmi Dhinasekaran, Vimalraj Selvaraj, Ajay Rakkesh Rajendran, Sekaran Saravanan, Bargavi Purushothaman, Balakumar Subramaniam, Material Science and Engineering C, 2021, 126, 111856 (Impact factor – 5.88)
Interplay between Surface Chemistry and Osteogenic Behaviour of Sulphate substituted Nano-Hydroxyapatite G. Radha, Balaji Venkatesan, Sellamuthu N. Jaisankar, P. Rajashree and S. Balakumar, Materials Science and Engineering C, 2021, 120, 111617 (Impact factor – 5.88)
Insight into the Impingement of different Sodium Precursors on Structural, Biocompatible, and Hemostatic Properties of Bioactive Materials, Chitra S and Balakumar S, Materials Science and Engineering C, 2021, 123, 111959 (Impact factor – 5.88)
Bioactivity and hemocompatibility of sol–gel Q2 bioactive glass synthesized under different catalytic conditions, D. Durgalakshmi, R. Ajay Rakkesh, P. Aruna, S. Ganesan and S. Balakumar, New J. Chem., 44, 21026-21037, 2020 (Impact factor –3.288)
Formation of bioactive nano hybrid thin films on anodized titanium via electrophoretic deposition intended for biomedical applications, C. Ashok raja, S. Balakumar, B. Anandkumar, R.P. George, U. KamachiMudalic, Materials Today Communications, 25, 2020, 101666 (Impact factor – 2.678)
Bioactive, Degradable and Multi-functional Three-Dimensional Membranous Scaffolds of Bioglass and Alginate composites for Tissue Regenerative Applications. Bargavi. P, Ramya. R, Chitra. S, Vijayakumari. S, Riju Chandran. R, Durgalakshmi. D, Rajashree. P and Balakumar. S, Royal Society of Chemistry - Biomaterials Science,2020, 8, 4003-4025 (Impact factor-5.8)
Zirconia Reinforced Bio-active Glass Coating By Spray Pyrolysis: Structure, Surface Topography, In Vitro Biological Evaluation and Antibacterial activities; P. Bargavi, S. Chitra, R. Riju Chandran, D. Durgalakshmi, P.Rajashree and S. Balakumar; Journal: Materials Today Communications, 2020, 25, 101253(Impact factor- 2.678)
Enhanced Magnetic Behavior of Hydrogenated Fe and FeCo Nanoparticles Prepared by Chemical Reduction Method, Theertharaman G., Nibin K Mathew, Rohith Vinod K., P. Saravanan and S. Balakumar,AIP Conference Proceedings, 2020, 2265, Article id.030554(Impact factor – 0.40)
Graphitic Carbon Nitride: An Active and Economical Visible Light Photocatalyst towards Diversified Organic Pollutants, Nibin K Mathew, Subramanian Balakumar,AIP Conference Proceedings, 2020,2265, 030633.(Impact factor – 0.40)
Nanostructuring of Graphitic Carbon Nitride as Potential and Economical Electrode Materials for Supercapacitors,Balasubramaniam M, Balakumar S,AIP Conference Proceedings, 2020, 2265, 030634. (Impact factor – 0.40)
Size Dependent Photoremediation of Water Pollutant using Bi2WO6 Nanoparticles, Annamalai. K, Radha. R, S. Balakumar,AIP Conference Proceedings 2020,2265, 030095. (Impact factor – 0.40)
Fabrication of Nickel Oxide Nanostructures and Evaluation of Morphology-dependent Photocatalytic and Supercapacitor Performance, Chinnadurai Nandhini, Subramanian Balakumar,AIP Conference Proceedings 2020,2265, 030636. (Impact factor – 0.40)
In vitro Bioactivity and Wound Healing Efficiency of 45S5 nanoBioactive Glass-Al2O3 Composites, P. Bargavi, S. Chitra, R. Riju Chandran, D. Durgalakshmi, P. Rajashree and S. Balakumar, AIP Conference Proceedings 2020,2265, 030031. (Impact factor – 0.40)
Exploration of Thermal Treatment dependent In-Vitro Mineralization on 45S5 Bioactive Nanostructured Materials, S. Chitra, P. Bargavi, Riju Chandran, and S. Balakumar, AIP Conference Proceedings 2020, 2265, 030226. (Impact factor – 0.40)
Evaluation of Whitlockite through Conventional Hydrothermal method and its In-vitro Erythrocyte Compatibility and Antibacterial activity, R. Riju Chandran, K. Elakkiya, P. Bargavi, S. Chitra and S. Balakumar, AIP Conference Proceedings 2020,2265, 030235 (Impact factor – 0.40)
Thermal Treatment Stimulus on Erythrocyte Compatibility and Hemostatic Behaviour of One-Dimensional Bioactive Nano-Structures,S. Chitra, P. Bargavi, R. Riju Chandran and S. Balakumar; Journal of Biomedical Materials Research Part A,2020, 108, 2277-2290 (Impact factor-3.076)
Chitosan mediated 5-Fluorouracil functionalized silica nanoparticle from rice husk foranticancer activity, D Durgalakshmi, R Rishvanth, R Ajay Rakkesh, P Bargavi, S. Balakumar, P Aruna, S Ganesan, International Journal of Biological Macromolecules, 2020, 156, 969-980 (Impact factor – 4.784)
Facile synthesis of paper-based graphene electrodes for point of care devices: A double stranded DNA (dsDNA) biosensor; J Mohanraj, D Durgalakshmi, RA Rakkesh, S. Balakumar, S Rajendran, Hassan Karimi-Maleh, Journal of Colloid and Interface Science, 2020, 566, 463-472(Impact factor – 6.361)
Low cost and quick time absorption of organic dye pollutants under ambient condition using partially exfoliated graphite, J Mohanraj, D Durgalakshmi, S. Balakumar, P Aruna, S Ganesan, Saravanan Rajendran, Mu Naushad;Journal of Water Process Engineering 2020, 34, 101078 (Impact factor –3.37)
Functional nanomaterial in energy and environmental science;Nanomaterials for Sustainable Energy and Environmental Remediation Durgalakshmi, S. BalakumarSaravanan RajendranMu.Naushad, Materials Today, 2020,1-23 (Impact factor – 24.372)
Impact of copper on in-vitro biomineralization, drug release efficacy and antimicrobial properties of bioactive glasses, S Chitra, P Bargavi, M Balasubramaniam, RR Chandran, S. Balakumar, Materials Science and Engineering: C, 2020, 109, 110598 (Impact factor – 5.08)
Anisotropic growth and strain-induced tunable optical properties of Ag–ZnO hierarchical nanostructures by a microwave synthesis method, RA Rakkesh, D Durgalakshmi, P Karthe, S. Balakumar, Materials Chemistry and Physics, 2020, 122720 (Impact factor – 2.781)
A Review on Multifunctional Attributes of Zinc Antimonate Nanostructures towards Energy and Environmental Applications, M. Balasubramaniam, S. Balakumar, Chemical Papers, 2020, 1-12. (Impact factor – 1.246)
Evolution of Phase Pure Magnetic Cobalt Ferrite Nanoparticles by Varying the Synthesis Conditions of Polyol Method, S Sathish, S. Balakumar, Materials Science and Engineering B,252, 2020, 114451 (Impact factor – 3.507)
Effect of microwave and probe sonication processes on sol–gel-derived bioactive glass and its structural and biocompatible investigations, S. Chitra, P. Bargavi, S. Balakumar, Journal of Biomedical Materials Research Part B: Applied Biomaterials, 108, 2020, 143-155 (Impact factot – 2.674)
Bioactive assessment of bioactive glass nanostructures synthesized using synthetic and natural silica resources, D. Durgalakshmi, R. Ajay Rakkesh, Balakumar Subramanian, International Joural of Applied Ceramics, 2019 (Impact factor – 1.074)
Electrochemical Performance of Nitrogen-Doped TiO2 Nanotubes as Electrode Material for Supercapacitor and Li-Ion Battery; Tamilselvan A, Chandrasekar M S, Rajesh K, Smagul K,Balakumar, S;Molecules, 24, 2019, 2952 (Impact factor – 3.060)
Modulation in the Band Dispersion of Bi2WO6 Nanocrsytals Using the Electronegativity of Transition Elements for Enhanced Visible Light Photocatalysis, R. Radha, Rohith Vinod Kulangara, ElanthamilanElaiyappillai, Janardhanam Sridevi, Subramanian Balakumar, Crystal Growth & Design, 2019, 19, 6224-6238. (Impact factor - 4.153)
Rapid Dilapidation of Alcohol Using Magnesium Oxide and Magnesium Aspartate based Nanostructures: A Raman Spectroscopic and Molecular Simulation Approach, D Durgalakshmi, R Ajay Rakkesh, Syed Kamil, S Karthikeyan, S. Balakumar, Journal of Inorganic and Organometallic Polymers and Materials, 2019, 29, 1390-1399 (Impact factor – 1.637)
Zinc Antimonate NanorodsIntegrated Porous Graphitic Carbon Nitride Nanosheets as Hybrid Electrode Materials for Supercapacitors, M. Balasubramaniam, S. Balakumar, Diamond and Related Materials,2019, 97, 107460(Impact factor – 2.290)
Sonochemistry-assisted Fabrication of 1D ZnSb2O6@2D-MoS2 Nanostructures: A Synergistic Energy Storage Material for Supercapacitors,M. Balasubramaniam, S. Balakumar, Ultrasonics Sonochemistry, 2019, 58, 104589 (Impact factor – 7.279)
Ultrasonication-assisted Fabrication of Hierarchical Architectures of Copper Oxide/Zinc Antimonate Nanocomposites Based Supercapacitor Electrode Materials M. Balasubramaniam, S. Balakumar, Ultrasonics Sonochemistry, 2019, 56, 337-349 (Impact factor – 7.279)
Role of Sintering Temperature Dependent Crystallization of Bioactive Glasses on Erythrocyte/Cytocompatibility,Shivalingam Chitra, Purushothaman Bargavi, Dhinasekaran Durgalakshmi, PadmanabanRajashree, Subramanian Balakumar, Processing and Application of Ceramics, 2019, 13, 12-23 (Impact factor – 0.976)
Nanostructuring of Silver Nanoparticles Anchored 1D Zinc Antimonate Electrode Material by Ultrasonication Assisted Chemical Reduction Approach for Supercapacitors, M Balasubramaniam and S. Balakumar, Materials Chemistry and Physics, 2019, 224, 334-348(Impact factor – 2.781)
Role of Interfacial Charge Transfer Process in the Graphene-ZnO-MoO3 Core-shell Nanoassemblies for Efficient Disinfection of Industrial Effluents, Ajay Rakkesh, D Durgalakshmi, PonnurajKarthe, Balakumar Subrmanian, Processing and Application of Ceramics 13, 2019, 376–386 (Impact factor – 0.976)
Fe/rGO Nanocomposites for Cadmium Remediation from Drinking Water and EMI Shielding Applications, Rohith Vinod K, Kumar M, Balakumar S, AIP Conference Proceedings, 2019, 2115, 030176 (Impact factor- 0.40)
Conductometric Sensing Characteristics of Nanoplatelet Bi2WO6 as Nanosensor for Hydrogen Detection, R Radha, R Ajay Rakkesh, S. Balakumar,AIP Conference Proceedings, 2019,2115, 030317(Impact factor- 0.40)
On the Investigation of Structural and Biological Properties of 45S5 Bioglass and β-Tricalcium Phosphate Nanostructured Materials, S. Chitra, P. Bargavi, D. Durgalakshmi, P. Rajashree andS. Balakumar,AIP Conference Proceedings, 2019, 2115, 030242. (Impact factor- 0.40)
Enhancing the Electrochemical Properties of Zinc Antimonate Nanorods by Anchoring Silver Nanoparticles as Hybrid Electrode Material for Supercapacitors, M Balasubramaniam and S. Balakumar, AIP Conference Proceedings, 2019, 2115, 030538. (Impact factor- 0.40)
Fabrication of Bismuth ferrite Nanostructures and Their Visible Light Photocatalytic Degradation and Water Splitting Properties, S. Bharathkumar, M. Sakar and S. Balakumar, AIP Conference Proceedings, 2019, 2115, 030167(Impact factor- 0.40)
Insights into Apatite Mineralization Potential of Thermally Processed Nanocrystalline Ca10-xFex (PO4)6(OH)2, G. Radha, B Venkatesan, E Vellaichamy, S. Balakumar, New Journal of Chemistry, 2019, 43, 1358-1371(Impact factor- 3.069)
Beyond Chemical Bonding Interaction: An Insight into the Growth Process of 1D ZnO on Few‐Layer Graphene for Excellent Photocatalytic and Room Temperature Gas Sensing Applications, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, ChemistrySelect, 2018, 3, 7302-7309 (Impact factor – 1.716)
Enhanced Shielding Effectiveness in Nanohybrids of Graphene Derivatives with Fe3O4 and ε-Fe3N in the X-Band Microwave Region, Rohith Vinod. K, P. Saravanan, Suresh Kumar. T. R, R. Radha, M. Balasubramaniam and S. Balakumar, Nanoscale, 2018, 10, 12018-12034. (Impact factor – 6.970)
Highly reactive crystalline phase embedded strontium-bioactive glass nanorods for multimodal bioactive applications, D. Durgalakshmi, R. Ajay Rakkesh, M. Kesavan, S. Ganapathy, T.G. Ajithkumar, S. Karthikeyan and S. Balakumar, Biomaterials Science,2018, 6, 1764-1776. (Impact factor – 5.831)
Decoration of 1-D Nano Bioactive Glass on Reduced Graphene Oxide Sheets: Strategies and in vitro Bioactivity Studies, C. Ashok raja, S. Balakumar, P. Bargavi, P. Rajashree, B. Anandkumar, R. P. George, and U. KamachiMudali, Material Science and Engineering C, 2018, 90, 85-94.(Impact factor – 5.08)
Fabrication of bismuth ferrite-based hybrid nanostructures: Insight into a catalytic and sensing properties for the detection of biomolecules, S Bharathkumar, M Sakar, S. Balakumar, AIP Conference Proceedings, 2018, 1942, 050045. (Impact factor – 0.40)
Contribution of tin in electrochemical properties of zinc antimonate nanostructures: An electrode material for supercapacitors, M Balasubramaniam, S. Balakumar, AIP Conference Proceedings, 2018, 1942, 140013. (Impact factor – 0.40)
Deriving magnetite nanostructures from natural resources and investigation of its erythrocyte compatibility, S Chitra, P Bargavi, D Durgalakshmi, M Balasubramaniam, P Rajashree, S. Balakumar, AIP Conference Proceedings, 2018, 1942, 050075. (Impact factor – 0.40)
Nanostructuring of a one-dimensional zinc antimonate electrode material through a precipitation strategy for use in supercapacitors, M. Balasubramaniam and S. Balakumar, New Journal of Chemistry, 2018, 42, 6613-6616. (Impact factor – 3.069)
Structural, Mechanical and Biological Insights on Reduced Graphene Nanosheets Reinforced Sonochemically Processed Nano‐Hydroxyapatite Ceramics, G Radha, B Venkatesan, E Vellaichamy, S. Balakumar, Ceramics International, 2018, 44, 8777-8787. (Impact factor – 3.450)
Effect of Titania Concentration in Bioglass/TiO2 Nanostructures and its In Vitro Biological Property Assessment, P. Bargavi, S. Chitra, D. Durgalakshmi, P. Rajashree and S. Balakumar, Journal of Nanoscience and Nanotechnology, 2018, 18, 4746-4754. (Impact factor - 1.354)
Reverse Ostwald ripening process induced dispersion of Cu2O nanoparticles in silver-matrix and their interfacial mechanism mediated sunlight driven photocatalytic properties,M Sakar, S. Balakumar, Journal of Photochemistry and Photobiology A: Chemistry, 2018, 356, 150-158. (Impact factor – 3.261)
Understanding the lattice composition directed in situ structural disorder for enhanced visible light photocatalytic activity in Bismuth iron niobate pyrochlore, R Radha, Ravi Kumar, M Sakar, S. Balakumar, Applied Catalysis B: Environmental, 2018, 225, 386-396. (Impact factor – 14.229)
Dual Oxidation State Induced Oxygen Vacancies in Pr Substituted BiFeO3 Compounds: An Effective Material Activation Strategy to Enhance the Magnetic and Visible Light-Driven Photocatalytic Properties,S Bharath Kumar,M Sakar, S. Balakumar, Materials Research Bulletin, 2018, 101, 107-115. (Impact factor – 3.355)
Electron spin resonance studies of Bi1-xScxFeO3 nanoparticulates: Observation of an enhanced spin canting over a large temperature range, S.Titus, S. Balakumar, M.Sakar, J. Das, V.V.Srinivasu, Solid State Communications, 2017, 268, 61-63. (Impact factor – 1.433)
A mechanistic view into the morphology-reconstruction mediated facile synthesis of bismuth ferrite (BiFeO3) hierarchical nanostructures, M Sakar, S. Balakumar, Nano-Structures & Nano-Objects, 2017, 12, 188-193.(Impact factor – 4.25)
A Perspective on the Hemolytic Activity of Chemical and Green-Synthesized Silver and Silver Oxide Nanoparticle, C. Ashok Raja, Sakar Mohan, S. Balakumar, IOP Publishing, Materials Research Express, 2017, 4, 105406. (Impact factor – 1.449)
On the Development of Hierarchical Nanostructures of Graphene-Zinc antimonate as Inexpensive Electrode Materials for Supercapacitors, M Balasubramaniam and S Balakumar, Electrochimica Acta, 2017, 253, 178-189.(Impact factor – 5.383)
Role of Nafion in the Electrochemical Characteristics of Zinc Antimonate Nanoparticles for Supercapacitor Application, M Balasubramaniam and S Balakumar,Nano Hybrids and Composites, 17, 2017, 18-23
Biopolymer mediated nanoparticles synthesized from Adeniahondala for enhanced tamoxifen drug delivery in breast cancer cell line,Pradeepa V, Balakumar S and Amutha S, Advances in Natural Sciences: Nanoscience and Nanotechnology, 8, 2017, 035011. (Impact factor – 0.94)
Comparative studies on Indian traditional nanomedicine YashadhaBhasma and zinc oxide nanoparticles for anti-diabetic activity, D Durgalakshmi, R Ajay Rakkesh, T Bhargavi Ram and S. Balakumar, Mater. Res. Express, 2017, 4, 075016. (Impact factor – 1.449)
Preparation, Properties and the Application of Hybrid Nanomaterials in Sensing Environmental Pollutants, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, Hybrid Nanomaterials: Advances in Energy, Environment, and Polymer Nanocomposites, John Wiley & Sons, 2017, 321
Effect of Synthesis Parameters of Polyol technique on Photoluminescence Properties of ZnSe Nanoparticles, S Sathish, S. Balakumar, Journal of Luminescence, 2017, 190, 272-278. (Impact factor – 2.961)
Concept of collective Nernstian-Capacitive mechanism in graphene nanosheets for electrochemical energy storage, M Balasubramaniam, S. Balakumar, AIP Conference Proceedings, 2017, 1832, 050091. (Impact factor – 0.40)
In vitro studies of graphene oxide reinforced hydroxyapatite nanobiocomposite on human erythrocytes, G Radha, Balaji Venkatesan, Elangovan Vellaichamy, S. Balakumar, AIP Conference Proceedings, 2017, 1832, 050127.(Impact factor – 0.40)
Sunlight driven photocatalytic water splitting using nanostructured bismuth tungstate (Bi2WO6), R Radha, M Sakar, S Bharathkumar, S. Balakumar, AIP Conference Proceedings, 2017, 1832, 050031. (Impact factor – 0.40)
Room Temperature Detection of Hydrogen Gas Using Graphene Based Conductometric Gas Sensor, A Pavithra, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, Journal of Nanoscience and Nanotechnology, 2017, 17, 3449-3453. (Impact factor- 1.354).
Electron Spin Resonance Studies of Undoped and Dysprosium Doped Bismuth Ferrite Nanoparticles, S Titus, VV Srinivasu, S. Balakumar, M Sakar, J Das, Journal of Superconductivity and Novel Magnetism, 2017, 30, 819-823. (Impact factor- 1.13)
Mixed metal oxides nanocomposites for visible light induced photocatalysis, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, Nanocomposites for Visible Light-induced Photocatalysis, Springer, 2017, 107-127
A novel nano-hydroxyapatite-PMMA hybrid scaffolds adopted by conjugated thermal induced phase separation (TIPS) and wet-chemical approach: Analysis of its mechanical and biological properties, G Radha, S. Balakumar, Balaji Venkatesan and Elangovan Vellaichamy, Mater. Sci. Eng. C, 2017, 73, 164-172. (Impact factor- 4.959)
Visible light driven photocatalytic efficiency of rGO-Ag-BiFeO3 ternary nanohybrids on the decontamination of dye-polluted water: An amalgamation of 1D, 2D and 3D systems, Dr. Sakar Mohan, Bharathkumar Sivakumar, Rohith Vinod Kulangara and Balakumar Subramanian, Chemistry Select, 2016, 1, 6961-6971. (Impact factor- 1.716)
Cheap method to make graphene nanosheets, Dr. S. Balakumar and M. Balasubramaniam, The Hindu Journal., September 2016
Exploration of electrochemical properties of zinc antimonate nanoparticles as supercapacitor electrode material, M Balasubramaniam and S. Balakumar, Materials Science in Semiconductor Processing, 2016, 56, 287-294.(Impact factor- 2.722)
Experimental Evidence for the Carrier Transportation Enhanced Visible Light Driven Photocatalytic Process in Bismuth Ferrite (BiFeO3) One-Dimensional Fiber Nanostructures, S Bharathkumar, M Sakar, S. Balakumar, J. Phys. Chem. C, 2016, 120, 18811−18821 (Impact factor- 4.309)
Tri-solvent mediated probing of ultrasonic energy towards exfoliation of graphene nanosheets for supercapacitor application, M Balasubramaniam, S. Balakumar, Materials Letters, 2016, 182, 63-67. (Impact factor- 3.019)
Iron Incorporated Hydroxyapatite for Orthopaedic Applications: Its Synthesis, Characterization and Biocompatibility Studies, G Radha, S. Balakumar, B Venkatesan, E Vellaichamy, Trends in Biomaterials and Artificial Organs, 2016, 29 (4), 322-326. (Impact factor- 0.110)
Graphene based nanoassembly for simultaneous detection and degradation of harmful organic contaminants from aqueous solution, RA Rakkesh, D Durgalakshmi, S. Balakumar, RSC Adv, 2016, 6 (41), 34342-34349. (Impact factor- 3.049)
Insights into the nitridation of zero-valent iron nanoparticles for the facile synthesis of iron nitride nanoparticles, Rohith Vinod K., P. Saravanan, M. Sakar, S. Balakumar,RSC Adv., 2016, 6, 45850-45857. (Impact factor- 3.049)
Influence of physicochemical interaction of capping agent on magnetic properties of magnetite nanoparticles, S. Sathish, S. Balakumar, Mater. Chem. Phys., 2016. 173, 364–371. (Impact factor- 2.781)
Reduced Graphene Oxide/Nano-Bioglass Composites: Processing and Super-Anion Oxide Evaluation, C. Ashokraja, S. Balakumar, D. Durgalakshmi, Rani P. George, B. Anand Kumar and U. KamatchiMudali, RSC Adv., 2016, 6, 19657-19661. (Impact factor- 3.049)
Electric field induced formation of one-dimensional bismuth ferrite (BiFeO3) nanostructures in electrospinning process, M. Sakar, S. Balakumar, P. Saravanan, S. N. Jaisankar, Mater. & Design, 2016, 94, 487–495. (Impact factor- 5.770)
Anatase TiO2 nanotube by electrochemical anodization method: Effect of tubes dimension on the supercapacitor application, A. Tamilselvan, S. Balakumar, Ionics, 2016, 22, 99-105. (Impact factor- 2.289)
Effect of precipitating agent NaOH on the preparation of copper oxide nanostructures for electrochemical applications, M. Balasubramaniam, S. Balakumar, Nanosystems: Physics, Chemistry, Mathematics, 2016, 7 (3), 482-487.
Particulates Vs fibers: dimension featured magnetic and visible light driven photocatalytic properties of Sc modified multiferroic bismuth ferrite nanostructures, M. Sakar, S. Balakumar, P. Saravanan, S. Bharathkumar,Nanoscale, 2016, 8, 1147-60. (Impact factor- 6.970)
Effect of temperature, solvent and precursor concentration on the anti-aggregation of ZnO nanoparticles prepared by polyol method, S. Sathish, M. Kesavan, S. Balakumar, J. Nanosci. & Nanotech., 2016, 16, 418-425.(Impact factor- 1.354)
Tailored sunlight driven nano-photocatalyst: bismuth iron tungstate (BiFeWO6), R. Radha, A. Srinivasan, P. Manimuthu, S. Balakumar, J. Mater. Chem. C., 2015, 3, 10285-10292. (Impact factor- 6.641)
Conformational change results in loss of enzymatic activity of Jack bean urease on its interaction with silver nanoparticle, Shobanaponnuvel, Balakumar Subramanian and KarthePonnuraj, Protein J, 2015, 34, 329–337.(Impact factor- 1.029)
Silver nanoparticles as a non-alcoholic hospital disinfectant to combat nosocomial pathogens,G. Anjana, M. Gowri, C. S Ashok Raja, M. Prasath, S. Balakumar, V. Ganesh, J. Bionanoscience, 9, 2015, 102-111. (Impact factor- 1.05)
Disinfection of Dentinal Tubules with Silver Nanoparticles and 2% Chlorhexidine Against Enterococcus faecalis, N Venkateshbabu, Mhagan Gowri, S. Balakumar, C Ashokraja, D kandaswamy, and V. Ganesh, J. Bionanoscience, 2015,9, 63-67. (Impact factor-1.05)
Large scale synthesis and formation mechanism of highly stable and magnetic iron nitride (ɛ-Fe3N) nanoparticles, Rohith Vinod K., P. Saravanan, M. Sakar, V. T. P. Vinod, Miroslav Cernikand S. Balakumar, RSC Adv, 2015, 5, 56045–48. (Impact factor- 3.049)
Compliments of confinements: substitution and dimension induced magnetic origin and band-bending mediated photocatalytic enhancements in Bi1-xDyxFeO3 particulate and fiber nanostructures, M. Sakar, S. Balakumar, P. Saravanan, and S. Bharathkumar, Nanoscale, 2015, 7, 10667-79. (Impact factor- 6.970)
Sol-Gel synthesis and characterization of magnesium peroxide nanoparticles, J Jaison, S. Balakumar, YS Chan, IOP Conference Series: Materials Science and Engineering, 2015, 78, 012005. (Impact factor- 0.53)
Versatility of electrospinning in the fabrication of fibrous mat and mesh nanostructures of bismuth ferrite (BiFeO3) and their magnetic and photocatalytic activities, S. Bharathkumar, M. Sakar, Rohith Vinod K. and S. Balakumar, Phys. Chem. Chem. Phys., 2015, 17, 17745-54. (Impact factor- 3.567)
Silver Plasmons Sensitized Photocatalytic Activity of Bismuth Ferrite (BiFeO3) Nanoparticles, M. Sakar, S. Bharathkumar, M. Jayamani, S. Balakumar, S. N. Jaisankar, J. Indian Chem. Soc., 2015, 92, 732-735. (Impact factor- 0.158)
Phase separation induced shell thickness variations in electrospun hollow Bioglass 45S5 fiber mats for drug delivery applications, D. Durgalakshmi and S. Balakumar, Phys. Chem. Chem. Phys., 2015, 17, 15316-15323.(Impact factor- 3.567)
Stacked Bioglass/TiO2Nanocoatings on Titanium substrate for enhanced osseointegration and its electrochemical corrosion studies, D. Durgalakshmi, R. Ajay Rakkesh, S. Balakumar, Appl. Surf. Sci., 2015, 349, 561-569. (Impact factor-5.155)
In vitro immersion studies of optimized electrospun bioglass 45S5 fibers for tissue engineering application, D Durgalakshmi, S. Balakumar, AIP Conference Proceedings, 2015, 1665, 070014. (Impact factor-0.40)
Observation of dimension dependent magnetic ordering in bismuth ferrite particulate and fiber nanostructures, M Sakar, S Bharathkumar, P Saravanan, S. Balakumar, AIP Conference Proceedings, 2015, 1665, 050010. (Impact factor-0.40)
Optimization of processing temperature in the nitridation process for the synthesis of iron nitride nanoparticles, K Rohith Vinod, P Saravanan, M Sakar, S. Balakumar, AIP Conference Proceedings, 2015, 1665, 130006. (Impact factor-0.40)
TiO2 impregnated graphene nanostructures: An effectual photocatalysts for water remediation application, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, AIP Conference Proceedings, 2015, 1665, 050036. (Impact factor-0.40)
Dimension and morphology-controlled fabrication of TiO2 nanotubes by electrochemical anodization method, A Tamilselvan, S. Balakumar, AIP Conference Proceedings, 2015, 1665, 050053. (Impact factor-0.40)
Synthesis and dose interval dependent hepatotoxicity evaluation of intravenously administrated polyethylene glycol-8000 coated ultra-small superparamagnetic iron oxide nanoparticle on Wistar rats, B. Rajan, S. Sathish, S. Balakumar, T. Devaki, Environmental toxicology and pharmacology, 2015, 39, 727-735. (Impact factor-3.061)
Evaluation of hemocompatibility and in-vitro immersion on microwave-assisted hydroxylapatite-alumina nanocomposites, G. Radha, S. Balakumar, V. Balaji, V. Elangovan, Mater. Sci. Eng. C, 2015, 50, 143-150. (Impact factor-4.959)
Electrophoretic Deposition of Bioglass/TiO2 nanocomposite on CP-Ti substrates for Biomedical Applications D. Durgalakshmi, R. Ajay Rakkesh, C. Ashok Raja and S. Balakumar, Int. J. ChemTech Res., 2015, 7, 755-761. (Impact factor-0.47)
Nanostructuring of a GNS-V2O5-TiO2 core-shell photocatalyst for water remediation applications under sun-light irradiation, R. Ajay Rakkesh, D. Durgalakshmi and S. Balakumar, RSC Adv., 2015, 5, 18633-18641. (Impact factor-3.049)
Analysis of Solvents induced porous PMMA/ Bioglass Monoliths by Phase separation method - its Mechanical and in vitro biocompatible studies, D. Durgalakshmi and S. Balakumar,Phys. Chem. Chem. Phys., 2015, 17, 1247-1256. (Impact factor-3.567)
Structural, Morphological and Antibacterial investigation of Ag-Impregnated Sol-Gel derived 45S5 nanoBioglass systems, D. Durgalakshmi, S. Balakumar, C. Ashok Raja, Rani P. George, and U. KamachiMudali, J. Nanosci. Nanotechnol., 2015, 15, 4285-4295. (Impact factor-1.354)
Morphology dependent photocatalytic activity of α-MoO3 nanostructures towards mutagenic Acridine Orange Dye, R. Ajay Rakkesh and S. Balakumar, J. Nanosci. Nanotechnol., 2015, 15, 4316-4324. (Impact factor-1.354)
Single-walled Carbon nanotubes decorated with polypyrrole-TiO2 nanocomposites, Gosala Radha, DebasisSamanta, Subramanian Balakumar, Asit Baran Mandal and Sellamuthu N. Jaisankar, Journal of Nanosci. & Nanotech., 2015, 15, 3879-3886 (Impact factor-1.354)
Fabrication of High-Quality Graphene Nanosheets from Camphor, A Pavithra, R Ajay Rakkesh, D Durgalakshmi, S. Balakumar, Graphene, 2014, 2, 113-116.
Surface enhanced Raman scattering studies of silver-gold normal and inverted core-shell nanostructures on their efficiency of detecting molecules, Sakar Mohan, Ashokraja Chandra sekar, Balakumar Subramanian, Procedia Engineering, 2014, 92, 19-25. (Impact factor- 0.97)
CdO/ZnO nanohybrids: Facile synthesis and morphologically enhanced photocatalytic performance, Senthil Kumar, M. Selvakumar, PurabiBhagabati, Bharathi Suresh, S. Karuthapandian, Balakumar Subrmanian, RSC Advances, 2014,4, .
Nano-Bioglass: A Versatile Antidote for Bone Tissue Engineering Problems, Durgalakshmi D, Subhathirai S.P and S. Balakumar, Procedia Engineering, 2014, 92, 2-8. (Impact factor- 0.97)
Studies on the photocatalytic performance of ZnO/PMMA composite activated by UV light,Linda, Balakumar Subramian, Bharathi Suresh, International Journal of ChemTech Research, 2014, 6, 1827-1829
A prototypical development of silver plasmon-sensitized bismuth ferrite particulate and fiber nanostructures and their remarkable photocatalytic activity under sunlight, Sakar Mohan, Balakumar Subramanianand GanesamoorthySarveswaran, J. Mater. Chem. C, 2014, 2, 6835. (Impact factor-6.641)
Efficient sunlight driven photocatalytic activity of chemically bonded GNS-TiO2 and GNS-ZnO heterostructures, R. Ajay Rakkesh, D. Durgalakshmi, S. Balakumar, J. Mater. Chem. C, 2014, 2, 6827. (Impact factor- 6.641)
Structural, electrical transport and optical studies of Li ion doped ZnO nanostructures, Rajendran Ajay Rakkesh, Subramanian Balakumar, Processing and Application of Ceramics, 2014, 8, 7–13. (Impact factor- 0.976)
Texturing of pure and doped CeO2 thin films by EBPVD through target engineering, P. Arunkumar, R. Ramaseshan, S. Dash, JoysuryaBasu, T. R. Ravindran, S. Balakumar and K. Suresh Babu, RSC Adv., 2014, 4, 33338. (Impact factor - 3.049)
Nanostructured Bi(1-x) Gd(x)FeO3 – A Multiferroic Photocatalyst on its Sunlight Driven Photocatalytic Activity, Sakar Mohan, Balakumar Subramanian, IndranilBhaumik, Pradeep Kumar Gupta, and Sellamuthu N. Jaisankar,RSC Adv., 2014, 4, 16871-16878. (Impact factor- 3.049)
Role of oxygen vacancy and Fe–O–Fe bond angle in compositional, magnetic, and dielectric relaxation on Eu-substituted BiFeO3 nanoparticles, Tamilselvan A, Balakumar S, Sakar M, Chiranjibnayek, Murugavel P and Saravana kumar K, Dalton Trans., 2014,43, 5731-5738. (Impact factor – 4.052)
Origin of enhanced magnetization in rare earth doped multiferroic bismuth ferrite, C. Nayek, A. Tamilselvan, Ch. Thirmal, P. Murugavel and S. Balakumar, J. Appl. Phys. 115, 073902 (2014). (Impact factor – 2.328)
Post annealing effects on the structural and optical properties of vertically aligned undoped ZnO nanorods grown by radio frequency magnetron sputtering, P. Sundara Venkatesh, S. Balakumar and and K. Jeganathan, RSC Adv., 2014, 4, 5030. (Impact factor – 3.049)
A strategy to fabricate bismuth ferrite (BiFeO3) nanotubes from electrospun nanofibers and their solar light-driven photocatalytic properties, Sakar Mohan and Balakumar Subramanian, RSC Adv., 2013, 3, 23737. (Impact factor – 3.049)
Annealing temperature mediated physical properties of bismuth ferrite (BiFeO3) nanostructures synthesized by a novel wet chemical method, M. Sakar, S. Balakumar, P. Saravanan, S. N. Jaisankar, Mat. Res. Bul., 48 (2013) 2878–2885. (Impact factor – 3.355)
Controlled and Selective Area Growth of Monolayer Graphene on 4H-SiC Substrate by Electron-Beam-Assisted Rapid Heating, P. Dharmaraj, K. Jeganathan, V. Gokulakrishnan, P. Sundara Venkatesh, R. Parameshwari, V. Ramakrishnan, S. Balakumar, K. Asokan, and K. Ramamurthi, Phys. Chem. C 2013, 117, 19195−19202. (Impact factor – 4.309)
Synthesis of Silver and Silver/Gold Anisotropic Nanostructures for Surface Enhanced Raman Spectroscopy Applications, Sakar M., Parthiban P. and Balakumar S., J. Nanosci. & Nanotech, 13, 2013, 8190-8198. (Impact factor – 1.354)
Evolution of Silver/Gold Triangular Nanoframes from Prismatic Silver/Gold Core/Shell Nanostructures and their SERS Properties, Parthiban P, Sakar M, Balakumar S, AIP Conf. Proc. 2013, 1512, 288. (Impact factor –0.40)
Manifestation of Weak Ferromagnetism and Photocatalytic Activity in Bismuth Ferrite Nanoparticles, Sakar Mohan, Balakumar Subramanian, Saravanan P., Jaisankar S.N., AIP Conf. Proc. 2013, 1512, 228. (Impact factor –0.40)
Effect of Europium Substitution on the Magnetic and Optical Properties of Nanostructured Bismuth Ferrite, Tamilselvan A., Sakar M., Nayek C., Murugavel P., Balakumar S., AIP Conf. Proc. 2013, 1512, 1162. (Impact factor –0.40)
Effect of Fe Incorporation on the Optical Behavior of ZnO Thin Films Prepared by Sol-gel Derived Spin Coating Techniques, R. Ajay Rakkesh, R. Malathi, S. Balakumar, AIP Conf. Proc. 2013, 1512, 1200. (Impact factor – 0.40)
Swift heavy ion induced modifications in structural, optical & magnetic properties of pure and V doped ZnO films, G. Jayalakshmi, K Saravanan, S. Balakumar, T. Balasubramanian, Vacuum, 2013, 95, 66-70. (Impact factor – 2.515)
Core/Shell Nano-Structuring of Metal Oxide Semiconductors and their Photocatalytic Studies, S. Balakumar and R. Ajay Rakkesh, AIP Conf. Proc. 2013,1512, 34 (Impact factor –0.40)
Nano-Bioglass (NBG) for Bone Regeneration Applications -Preparation and its Characterization, D. Durgalakshmi, S. Balakumar, AIP Conf. Proc. 2013, 1512, 122 (Impact factor –0.40)
Facile Synthesis of ZnO/TiO2 core/shell Nanostructures and their photocatalytic activities. R. Ajay Rakkesh and S. Balakumar, J. Nanosci. Nanotechnol., 2013 13, 370–376 (Impact factor –1.354)
Effect of Gd dopant concentration on the defect engineering in ceria nanostructures, Sakar M., Rubini Rajkumar, Tripathy S., Balakumar S., Mat. Res. Bul. 2012, 27, 4340-4346 (Impact factor – 3.355)
Collagen adhesin–nanoparticle interaction impairs adhesin's ligand binding mechanism, A. Swarmistha Devi, Y. Ogawa, Y. Shirnoji, S. Balakumar and P. Karthe, Biochimica et biophysica acta, 2012, 1820, 819-828 (Impact factor-3.681)
Methane gas detection in environment using shape dependent α-MoO3 nanosensor, R Ajay Rakkesh, AK Prasad, S Dash, AK Tyagi, S. Balakumar, AIP Conference Proceedings, 2012, 1447, 249-250 (Impact factor – 0.40)
On the surface Magnetism Induced Atypical ferromagnetic behavior of cerium oxide (CeO2) nanoparticles, M. Sakar, S. Arumugam, S. Tripathy, and S. Balakumar,AIP Conf. Proc. 2012, 1447, 355. (Impact factor -0.40)
Morphological Evaluation of Orthorhombic Molybdenum Trioxide (α-MoO3) Nanostructures, R. Ajay Rakkesh and S. Balakumar, AIP Conf. Proc. 2012, 1447, 249 (Impact factor -0.40)
Ru/Al2O3catalyzed N-oxidation of tertiary amines by using H2O2, PitchaimaniVeerakumar, Subramanian Balakumar, Murugesan Velayudham, Kuang-Lieh Lu, Seenivasan Rajagopal, Catalysis Science & Technology, 2012, 2, 1140-1145 (Impact factor – 5.726)
Nanoplatelet Structures of MoO3 for H2 Gas Sensors, Balakumar S, Rakkesh R.A, Prasad A.K, Dash S, Tyagi A.K, IEEE Xplore 2011, 514-517 (Impact factor – 10.694)
Investigation on the fabrication and characterization of A-Site Modified Multiferroic BiFeO3 Nanoparticles, M. Sakar, S.N. Jaisankar, S. Arumugam and S. Balakumar, IEEE Xplore, 2011, 70-73 (Impact factor – 10.694)
Germanium rich SiGe Nanowires through oxidation of SiGe FIN on Insulator, S. Balakumar, B. Tan, B. Kavitha, R. Kumar, G.Q. LO, and D.L. Kwong, Journal of Electronic Materials, 2009, 38, 443-448 (Impact factor – 1.676)
Textured Ni (Pt) Germanosilicide Formation on a Condensed Si1−xGex/Si Substrate, Y,. Setiawan, E. J. Tan, K.S. Balakumar, L. Pey, and P. S. Lee,J. Electrochem. Soc., 2009, 156, H500-H504. (Impact factor –3.120)
Influence of thickness on nanomechanical behavior of Black Diamond™ low dielectric thin films for interconnect and packaging applications, V. N. Sekhar, T. C. Chai, S. Balakumar, Lu Shen, S. K. Sinha, A. A. O. Tay and Seung Wook Yoon, Journal of Materials Science: Materials in Electronics, 2009, 20, 74-86. (Impact factor – 2.195)
Si Nanowire CMOS Transistors and Circuits by Top-Down Technology Approach, N. Balasubramanian, N. Singh, S. C. Rustogi, K. D. Buddharaju, J. Fu, Z. Hui, S. Balakumar, A. Agarwal, S. K. Manhas, G. Q. Lo, D. L. Kwong, ECS transactions, 2008, 201 (Impact factor – 0.47)
Ge Rich (70%) SiGe Nanowire MOSFET Fabricated Using Pattern Dependent Ge-Condensation Technique, Y. Jiang, N. Singh, T. Y. Liow, W. Y. Loh, S. Balakumar, K. M. Hoe, C. H. Tung, V. Bliznetsov, S. C. Rustagi, G. Q. Lo, D. S. H. Chan and D. L. Kwong, IEEE Elec, Device Letts., 2008, 29, 595-598 (Impact factor –3.753)
Ge rich Nanowires by controlled condensation technique, S. Balakumar, B. Tan, B. Kavitha, R. Rakesh, N. Balasubramanian and D.L. Kwong, MRS-S, Feb 2008.
A comparative study on the measurement of toughness of stacks containing low-k dielectric films, Z. W. Zhang, I. Sridhar and S. Balakumar, J. Microelectronic Eng., 2008, 85, 2322 (Impact factor –1.654)
Copper Plug Barrier Process Optimization for Reliable Transistor Performance, Sanjeev Manhas, M. Chen, K.D. Buddharaju, Hongyu li, R. Murthy, Balakumar Subramanian, Nena Singh, G. Q. Lo, D.L. Kwong. 2008, International Conference on Solid State Devices and Materials
Fabrication of Thick Germanium-on-Insulator (GeOI) Substrates, S. Balakumar, K.M. Hoe, W. Tang, Y.L. Foo, S. Tripathy, C.H. Tung, G.Q. Lo, N. Balasubramanian and D.L. Kwong, Journal of Electronic Materials, 2008, 37, 937 (Impact factor –1.676)
Impact of packaging design on reliability of large die Cu/low-κ (BD) interconnect, TC Chai, Xiaowu Zhang, HY Li, VN Sekhar, WY Hnin, ML Thew, OK Navas, John Lau, R Murthy, S. Balakumar, YM Tan, CK Cheng, SL Liew, DZ Chi, WH Zhu, 58th Electronic Components and Technology Conference, IEEE, 2008, 38-45 (Impact factor –1.28)
Laser-induced melt-mediated Ni (Pt) Germanosilicide formation on condensed Si1-xGex/Si substrates” Y. Setiawan, P.S. Lee, S. Balakumar, K.L. Pey, and X.C. Wang, Electrochemical & Solid-State Lett., 2008, 1, H262-H265 (Impact factor – 2.321)
Fabrication of thick SiGe on insulator (Si0.2Ge0.8OI) by condensation of SiGe/Si superlattice grown on silicon on insulator, Balakumar, S.Peng, S.Hoe, K.M.Lo, G.Q.Kumar, R.Balasubramanian, N.; Kwong, D.L.; Foo, Y.L., Tripathy, S., Appl. Phy. Lett., 2007, 90, 92113 (Impact factor –3.521)
A Novel Approach to Fabricate-120nm Thick Fully Relaxed Ge-on-Insulator, Balakumar S., Hoe K. M., Tang W, Foo Y. L, Tripathy S, Tung C. H, Lo G. Q., Balasubramanian N. and Kwong D. L., Extended abstracts of the Conference on Solid State Devices and Materials, 2007, 294-295.
Strained Ge-rich SiGe Nanowire pFETs with High-k/Metal Gate Fabricated using Germanium Condensation Technique, Jiang Y., Singh N, Chan D. S. H, Liow T. Y, Loh W. Y, Balakumar S, Sun Y, Lo G. Q and Kwong D. L, Extended abstracts of the Conference on Solid State Devices and Materials, 2007, 820-821.
SiGeO layer formation mechanism at the SiGe/oxide interfaces during Ge condensation, Balakumar, S.; Peng, Suo; Hoe, K.M.; Agarwal, A.; Lo, G.Q.; Kumar, R.; Balasubramanian, N.; Kwong, D.L.; Tripathy, S., Appl. Phy. Lett., 90, 2007, 032111. (Impact factor – 3.521)
Investigation of mechanical properties of black diamond™ (low-k) thin films for Cu/low-k interconnect applications, Sekhar, V.N.; Balakumar, S.; Chai, T.C.; Tay, A.A.O.2006 8thElectronics Packaging Technology Conference (IEEE Cat. No.06EX1538), 2007, 7, 4.
Characterization of ELID grinding process for machining silicon wafers. Islam, M.M.; Kumar, A. Senthil; Balakumar, S.; Lim, H.S.; Rahman, M.Journal of Materials Processing Technology, 2008, 198, 281-290. (Impact factor -4.178)
GaAs metal-oxide-semiconductor device with HfO2/TaN gate stack and thermal nitridation surface passivation, Gao, FeiLee, S.J.; Chi, D.Z .; Balakumar, S.; Kwong, D.-L.Appl. Phy. Lett., 2007, 90, 252904. (Impact factor -3.521)
Strained SiGeSn formed by Sn implant into SiGe and pulsed laser annealing, G. H. Wang, E.-H. Toh, X. Wang, S. Tripathy, T. Osipowicz, T. K. Chan, K.-M. Hoe, S. Balakumar, G.-Q. Lo, G. Samudra, and Y.-C. Yeo, Appl. Phy. Lett., 2007, 91, 202105. (Impact factor -3.521)
Electrical Sensing of Calcium Ions using Silicon Nanowire Array, Ajay AGARWAL, WL Wong, K-L YANG, S. Balakumar, N Balasubramanian, DL Kwong, Extended abstracts of the Conference on Solid State Devices and Materials, 2007, 308-309.
Gao, Fei, S. J. Lee, D. Z. Chi, S. Balakumar, And D. L. Kwong, GaAs metal-oxide-semiconductor device with HfO2, Applied physics letters, 2007, 90, 25 (Impact factor -3.521)
Metal-Germanide Schottky Source/Drain Transistor with High-k/Metal Gate Stack on Ge and Si0.05Ge0.95/Si Substrate, Fei Gao, Rui Li, DZ Chi, S. Balakumar, SJ Lee, 2007 International Workshop on Junction Technology, IEEE, 2007, 77-80.
Silicon-Germanium-Tin (SiGeSn) Source and Drain Stressors formed by Sn Implant and Laser Annealing for Strained Silicon-Germanium Channel P-MOSFETs, Grace Huiqi Wang, EngHuatToh, Xincai Wang, Hwee Leg Seng, Sudhiranjan Tripathy, Thomas Osipowicz, Taw Kuei Chan, Keat Mun Hoe, Balakumar Subramanian, Chih - Hang Tung, Guo- Qiang Lo, Ganesh Samudra, Yee- Chia Yeo, 2008, Electron Devices Meeting, IEEE International, IEDM.
Silicon Strain-Transfer-Layer (STL) and Graded Source/Drain Stressors for Enhancing the Performance of Silicon-Germanium Channel P-MOSFETs, Grace Huiqi Wang, EngHuatToh, Keat Mun Hoe, Sudhiranjan Tripathy, Balakumar Subrmanian, Guo- Qiang Lo, Ganesh Samudra, Yee- Chia Yeo, 2007, International Conference on Solid State Devices and Materials.
Uniaxial strained silicon n-FETs on silicon-germanium-on-insulator substrates with an e-Si(0.7)Ge(0.3) stress transfer layer and Source/Drain stressors for performance enhancement, Grace Huiqi Wang, EngHuatToh, Yong Lim Foo, Sudhiranjan Tripathy, Balakumar Subrmanian, Guo- Qiang Lo, Ganesh Samudra, Yee- Chia Yeo, October 2007, IEEE Xplore, 37th European Solid State Device Research Conference, 2007.
NanoTrek®-Quantum Tunneling Linear Encoder for Sub-nanometer Positional Metrology with Centimeters Range, MT Michalewicz, P Glowacki, N Singh, S. Balakumar, NN Gosvami, NSTI Nanotechnology, Santa Clara, 2007.
Quantum Tunneling Sensors: Quantum Tunneling Between Large Arrays of Nanowires – New Sensing Principle, Applications in Defense, Security and Demonstration of Nanotrek® Functionality, M.T. Michalewicz, P. Glowacki, N. Singh, S. Balakumar and N. N. Gosvami, 2007, Nanoelectronic devices for Defense and Security, Crystal City, VA, USA.
GaAs p-and n-MOS devices integrated with novel passivation (plasma nitridation and AlN-surface passivation) techniques and ALD-HfO2/TaN gate stack, Fei Gao, SJ Lee, Rui Li, SJ Whang, S. Balakumar, DZ Chi, Chia Ching Kean, S Vicknesh, CH Tung, D-L Kwong, International Electron Devices Meeting, IEEE, 2006, 1-4.
Investigation of mechanical properties of black diamond tm (low-K) thin films for Cu/low-k interconnect applications, VN Sekhar, S. Balakumar, TC Chai, AAO Tay, 8th Electronics Packaging Technology Conference, 2006, 63-69.
Characterization of Dielectric Flash Layer used as Cu- Ultra low k (k~2.2) Barrier, Lin Zhang, C Y Li, Y. W. Chen, C Li, L Y Wong, Balakumar Subrmanian, Hongyu li, Moitreyee Mukherjee- Roy, Harold S Park.
The effect of spreading resistance on the magnetoresistance of current-perpendicular-to-plane spin valves with patterned layers, S. Balakumar, MBA Jalil, SG Tan, R Ng, T Liew, IEEE transactions on magnetics, 2006, 42, 3788-3790. (Impact factor- 1.651)
SiGe Amorphization during Ge Condensation in SiGe-on-Insulator S. Balakumar, Ong.C. S, Lo, G.Q, C.H. Tung, R. Kumar, A. Trigg, N. Balasubramanian and D.L. Kwong, Appl. Phy. Lett., 2006, 89, 042115. (Impact factor -3.521)
Solid State Epitaxy during Ge condensation from amorphous SiGe layer on SOI substrate, S. Balakumar, C.H. Tung, G.Q. Lo, R. Kumar, N. Balasubramanian and D. L. Kwong, G. Fei and S. J. Lee, Appl. Phy. Lett., 2006, 89, 032101. (Impact factor -3.521)
100 nm Gate Length Pt-Germanosilicide Schottky S/D PMOSFET on SGOI substrate fabricated by novel condensation approach, Fei Gao, S. Balakumar, Li Rui, SJ Lee, Chib-hang Tung, Anyan Du, T Sudhiranjan, Ws Hwang, N Balasubramanian, Patrick Lo, Chi Dong-Zhi, Dim-lee Kwong, 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, IEEE, 2006, 311-313.
Fabrication of high Ge content SiGe layer on Si by Ge condensation technique, S. Balakumar, T Jun Wei, CH Tung, GQ Lo, HS Nguyen, CS Fong, A Agarwal, R Kumar, N Balasubramanian, SJ Lee, DL Kwong, 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, IEEE, 2006, 301-305.
Effects of annealing and temperature on SGOI fabrication using Ge condensation, S. Balakumar, CS Ong, CH Tung, A Trigg, MF Li, R Kumar, GQ Lo, N Balasubramanian, YC Yeo, DL Kwong, 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, IEEE, 2006, 150-153.
Schottky Source/Drain MOSFETs on SiGe on Insulator with high-K gate dielectric and TaN gate electrode, Fei Gao, Rui Li, DZ Chi, S. Balakumar, Chih-Hang Tung, SJ Lee, International Workshop on Junction Technology, IEEE, 2006, 160-163.
Fabrication Aspects of Germanium on Insulator from Sputtered Ge on Si-Substrates, S. Balakumar, M. M. Roy, B. Ramamurthy, C. H. Tung, Gao Fei, S.Tripathy, Chi Dong zhi, R. Kumar, N. Balasubramanian, and D. L. Kwong,Electrochemical. Solid-State Lett., 2006, 9, G158-G160 (Impact factor -2.321)
SiGe on Insulator MOSFET Integrated with Schottky Source/Drain and HfO2/TaN Gate Stack, Fei Gao, S. J. Lee, Li Rui, S. J. Wang, B. J. Cho, S. Balakumar, Chih-Hang Tung, D. Z. Chi, and D. L. Kwong,Electrochemical. Solid-State Lett. 2006,9, G222-G224 (Impact factor -2.321)
Work Function Tuning and Material Characteristics of Lanthanide- Incorporated Metal Nitride Gate Electrodes for NMOS Device Applications, C. Ren, D. S. H. Chan, M.-F. Li, W. Y. Loh, S. Balakumar, C. H. Tung, N. Balasubramanian, and D.-L. Kwong, IEEE Transactions on Electron Devices, 2006, 53, 1877-84 (Impact factor -2.704)
A method of fabricating MIM capacitor in Cu/low-k backend interconnection process for RF application, M.B. Yu, J. Ning, S. Balakumar, V.N. Bliznetsov, G. Q. Lo, N. Balasubramanian and D. L. Kwong, Thin Solid Films, 2006, 504, 257-260 (Impact factor -1.888)
Localized Germanium-on-Insulator Patterns on Si by Novel Etching scheme in CF4/O2 plasma, B. Ramana Murthy, S. Balakumar, N. Balasubramanian, M. M-Roy, A. Trigg, R. Kumar and D.L. Kwong, Thin Solid Films, 2006, 504, 77-80 (Impact factor – 1.888)
Performance evaluation of a newly developed electrolytic system for stable thinning of silicon wafers, MM Islam, AS Kumar, S. Balakumar, HS Lim, M Rahman, Thin solid films, 2006, 504, 15-19. (Impact factor – 1.888)
Ge diffusion and solid phase epitaxy growth to form Si1− xGex/Si and Ge on insulator structure, F Gao, SJ Lee, S. Balakumar, A Du, YL Foo, DL Kwong, Thin Solid Films, 2006, 504, 69-72. (Impact factor – 1.888)
Work Function Tuning of TaN by High-Temperature Metal Intermixing Technique for Gate-First CMOS Process, C. Renchi, D. S. H. Chan, W. Y. Loh, S. Balakumar, A. Y. Du, C. H. Tung, G. Q. Lo, R. Kumar, N. Balasubramanian, and D.-L. Kwong, IEEE Electron Device Letters, 2006, 27, 811-813. (Impact factor – 3.753)
CMOS Compatible Dual Metal Gate Integration with Successful Vth Adjustment on High-k HfTaON by High-Temperature Metal Intermixing, Cong Ren, D.S.H. Chan, W. Y- Loh, J. W. Peng, Balakumar Subrmanian, Yong Jiang, C. H. Tung, Anyan Du, G.Q. Lo, R. Kumar, Narayana Balu, D.L. Kwong, 2006, Solid-State Device Research Conference. ESSDERC.
Strained Silicon-Germanium-on-Insulator N-MOSFETs Featuring Lattice Mismatched Source/Drain Stressor and High-Stress Silicon Nitride Liner, Grace Huiqi Wang, EngHuatToh, Keat Mun Hoe, Sudhiranjan Tripathy, Balakumar Subrmanian, Guo- Qiang Lo, Ganesh Samudra, Yee- Chia Yeo,IEEE Xplore, Electron Devices Meeting, 2006. IEDM '06.
Schottky Source/Drain Transistor on Thin SiGe on Insulator Integrated with HfO2/TaN Gate Stack, Fei Gao, SJ Lee, Rui Li, S. Balakumar, Chih-Hang Tung, Dong-Zhi Chi, Dim-Lee Kwong, MRS Online Proceedings Library Archive, Cambridge University Press, 2006, 913.
Wear Phenomena in Abrasive-Free Copper CMP Process, S. Balakumar, T. Haque, A. Senthil Kumar, M. Rahman, and R. Kumar, J. of the Electrochemical Society, 2005, 152, G867-G874. (Impact factor – 3.120)
Enhancement of adhesion strength of Cu layer with low dielectric constant SiC:H liners in Cu interconnects, Grace, W., S. Balakumar, S.C. Hwee, Rakesh Kumar and T. Hara, Microelectronics Journal, 2005, 36, 749-753. (Impact factor – 1.284)
High Germanium content strained SiGeOI by Oxidation of Amorphous SiGe Film on SOI substrates, Fei Gao, S. Balakumar, N. Balasubramanian, S. J. Lee, C. H, Tung, R. Kumar, T. Sudhiranjan, Y.L. Foo and D. L, Kwong, Electrochemical and Solid-State Letters, 2005, 8, G337-G340. (Impact factor –2.321)
Integrated high-/spl kappa/ (/spl kappa//spl sim/19) MIM capacitor with Cu/low-/spl kappa/interconnects for RF application, MB Yu, YZ Xiong, SJ Kim, S. Balakumar, C Zhu, MF Li, BJ Cho, GQ Lo, N Balasubramanian, D-L Kwong, IEEE Electron device letters, 2005, 26, 793-795. (Impact factor –3.753)
A Material Removal Rate Model for Copper Abrasive-Free CMP, T. Haque, S. Balakumar, A. Senthil Kumar, and M. Rahman, J. Electrochem. Soc., 2005, 152, G417-G422. (Impact factor –3.120)
Pseudo-breakdown phenomenon and barrier integrity in Cu/porous ultra low-k damascene interconnects, Z Chen, K Prasad, N Jiang, LJ Tang, N Babu, S. Balakumar, CY Li, IEEE International Reliability Physics Symposium Proceedings. 43rd Annual, IEEE, 2005, 478-482.
Materials and Processing-Integrated High-k (k~19) MIM Capacitor with Cu/Low-k Interconnects for RF Application, MB Yu, YZ Xiong, SJ Kim, S. Balakumar, C Zhu, MF Li, BJ Cho, GQ Lo, N Balasubramanian, DL Kwong, IEEE Electron Device Letters, 2005, 26, 793-795. (Impact factor – 3.753)
Mechanical behavior of Cu/low-k stacks with different barrier layers, VN Sekhar, S. Balakumar, AAO Tay, SK Sinha, Proceedings-22nd International VLSI Multilevel Interconnection Conference, VMIC, 2005, 257-265.
Material Reliability and Integration Issues of Polyimide and Benzocyclobutene Interlayer Dielectric Materials, Parshuram B Zantye, Ashok Kumar, R Gopalkrishnan, S. Balakumar, MRS Online Proceedings Library Archive, Cambridge University Press, 2005, 863.
A Novel Approach to fabricate High Ge content SiGe on Insulator from Amorphous SiGe deposited on SOI wafers, Balakumar Subrmanian, Gao Fei, Sujin Lee, C.H. Tung, R. Kumar, Sudhiranjan Tripathy, Y.L. Foo, Narayanana Balasubramanian, D.L. Kwong, January 2005, International Conference on Solid State Devices and Materials.
Investigation on Abrasive Free Copper Chemical Mechanical Planarization for Cu/low k and Cu/ultra low k interconnects, S. Balakumar, T Haque, R Kumar, AS Kumar, M Rahman, MRS Online Proceedings Library Archive, Cambridge University Press, 2005, 867.
Advanced ELID process development for grinding silicon wafers, MM Islam, A Senthil Kumar, S. Balakumar, HS Lim, M Rahman, MRS Online Proceedings Library Archive, Cambridge University Press, 2005, 867.
Growth and Characterization of Germanium on Insulator (GOI) from Sputtered Ge by Novel Single and Dual Necking techniques, Balakumar Subramanian, Moitreyee Mukherjee- Roy, Ramamurthy, Gao Fei, Chih- Hnag, R. Kumar, Narayanana Balasubramanian, Sudhiranjan Tripathy, Sujin Lee, D.L. Kwong, January 2005, International Conference on Solid State Devices and Materials.
Semiconductor Devices, Materials, and Processing-A Two-Step Oxidation Mediated Condensation Process for Ultrathin High Ge Content SiGe Epitaxial Films on Insulator, M Mukherjee-Roy, A Agarwal, S. Balakumar, AY Du, AD Trigg, R Kumar, N Balasubramanian, DL Kwong, Electrochemical and Solid-State Letters, 2005, 8, G164. (Impact factor –2.321)
Strained Silicon and Heterostructures: materials and devices, S. Balakumar, Fei Gao, SJ Lee, CH Tung, R Kumar, T Sudhiranjan, YL Foo, N Balasubramanian, DL Kwong, Extended abstracts of the Conference on Solid State Devices and Materials, 2005, 370.
High-K (K~19.6) MIM Capacitor with Cu/Low-K Back-end Process for RF Application, M. B. Yu, Yong Zhong Xiong, G.Q. Lo, Sun-Jung Kim, S. Balakumar, C.X. Zhu, M.-F. Li, Byung-Jin Cho, and D. L. Kwong, Integration of IEEE Electron Device Letters, 2005, 26, 793. (Impact factor –3.753)
A two-step oxidation mediated condensation process for ultra thin high Ge content SiGe epitaxial films on Insulator, M.M. Roy, A. Agarwal, S. Balakumar, A.D. Trigg, R. Kumar, N. Balasubramanian and D.L. Kwong, Electrochemical and Solid-State letters, 2005, 8, G164-G166. (Impact factor –2.321)
Effect of stress on the properties of copper lines in Cu interconnects,S. Balakumar, R. Kumar, Y. Shimura, K. Namiki, M. Fujimoto, H. Toida, M. Uchida and Tohru. Hara, Electrochemical-solid state letters, 2004, 7, G68-G71. (Impact factor –2.321)
Properties of Cu Layers deposited on TiZr-based barriers and CMP compatibility of the barriers, S. Balakumar, Hara, T. Kumar, R; Wakabayashi, T. Uchida, M, Electrochemical and Solid-State Letters, 2004, 7, G175-G178. (Impact factor –2.321)
Peeling and delamination in Cu/SiLK process during Cu-CMP, S. Balakumar, X.T. Chen. Y.W. Chen, T. Selvaraj, B.F. Lin, R. Kumar, T. Hara, M. Fujimoto and Y. Shimura, Thin Solid Films, 2004, 161-167. (Impact factor – 1.888)
Measurement of adhesion strength in copper interconnection layers, T Hara, M Uchida, M Fujimoto, TK Doy, S. Balakumar, N Babu, Electrochemical and solid-state letters, 2004, 7, G28-G30. (Impact factor –2.321)
Characterization and performance of dielectric diffusion barriers for Cu metallization, Chen Zhe, Prasad, K.Li, C.Y.Su, S.S.Gui, D.Lu, P.W.He, X., and Balakumar. S, Thin Solid Films, 2004, 462-463, 223-226. (Impact factor – 1.888)
Dielectric/metal sidewall diffusion barrier for Cu/porous ultra low-k interconnect technology, Zhe Chen, Prasad, K. Li, C.Y.; Lu, P.W. Su, S.S. Tang, L.J. Guy, D. S. Balakumar, S. Shu, R. Kumar, R, Applied Physics Letters, 2004, 84, 2442-2444. (Impact factor -3.521)
Enhancement of adhesion strength of Cu layer on single and multi-layer dielectric film stack in Cu/low k multi-level interconnects, S. Balakumar, Grace Wong, Chi Fo Tsang, T. Hara and W. J. Yoo, Micro.Eng., 2004, 75, 183-193. (Impact factor -2.141)
Chemical mechanical polishing of copper layer employing MnO2 slurry, T. Hara and S. Balakumar, Thin Solid Films, 2004, 186-191. (Impact factor – 1.888)
Defect study on Nanoglass® E porous ultra-low k material (k~2.2) for ultra-large-scale integration applications, L. Zhang, Y. W. Chen, C. Y. Li, C. Li, L. Y. Wong, H. Y. Li, S. Balakumar and H. S. Park, Materials Science in Semiconductor Processing, 2004, 7, 89-93. (Impact factor – 2.82)
Enhancement of adhesion strength of Cu seed layer with different thickness in Cu/low-k multilevel interconnects, Grace Wang, S. Balakumar, Yoo Won Jong, C. H. Seah, and T. Hara,J. Vac. Sci. Technol. B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 2004, 22, 2384-2390. (Impact factor –1.257)
The mechanical properties of ultra-low-dielectric-constant films, Y.H. Wang, M.R. Moitreyee, R. Kumar, S.Y. Wu, J.L. Xie, P. Yew, Balakumar S, L. Shen, K.Y. Zeng, Thin Solid Films, 2004, 227, 462–463. (Impact factor – 1.888)
CMP of Cu Interconnection Layer Hara and S. Balakumar, Denshi Zairyo Jap. J. Elec. Mate., 27 (2003) 62. (Impact factor – 2.195)
Thermal stability of Cu/non-porous SiLK integration with Ta(N) BiLayer as diffusion barrier, X. He, C.Y. Li, Dao Hua Zhang, P.W. Lu, G. J. Jia, S.S. Su, Balakumar Subrmanian, X.T. Chen, Y.W. Chen, R. Kumar, January 2003.
Dishing and nitride erosion of STI-CMP for different integration schemes, Lim LimHwee, S. Balakumar, S. Mahadevan, Zhou Mei Sheng, Alex See, M. Rahman and A. Senthil kumar, J. of Electronic Materials, 2001, 30, 1478-1482. (Impact factor – 2.195)
Water-assisted reconstruction on ferroelectric domain ends of triglycine sulfate (NH2CH2COOH)3·H2SO4 crystals, S. Balakumar and H. C. Zeng, J. Mater. Chem., 2000, 10, 651-656. (Impact factor –10.733)
Growth and Characterization of Germanium on Insulator (GOI) from Sputtered Ge by Novel Single and Dual Necking techniques, S. Balakumar, MM Roy, B Ramanamurthy, Fei Gao, CH Tung, R Kumar, N Balasubramanian, S Tripathy, SJ Lee, DL Kwong, Appl. Phys. Lett, 2000, 76, 3700. (Impact factor –3.521)
Growth and characterization of Pb1-xCaxTiO3 single crystals by self-flux technique, S. Ganesa Moorthy, S. Balakumar, F. Joseph Kumar, C. Subramanian and P. Ramasamy, Mat. Chem. and Phys., 1999, 57, 281-284. (Impact factor –2.781)
Growth modes in vapour-phase prepared orthorhombic molybdenum trioxide crystals, S. Balakumar and H. C. Zeng, J. of Cry.Growth, 1999, 197, 186-194. (Impact factor –1.573)
Surface Reconstruction in TGS family crystals under humidity and temperature controls, S. Balakumar and H. C. Zeng, Material Research Innovations, 1999, 2, 289-298. (Impact factor –1.140)
Morphology and etching studies on YBCO and CuO single crystals, D. Prabhakaran, S. Balakumar C. Subramanian and P. Ramasamy, Physica C: Superconductivity, 1999, 319, 99-103. (Impact factor – 0.985)
Ferroelectric domain structure studies on PbTiO3 single crystals by polarizing microscope, SEM and AFM, S. Ganesa moorthy, S. Balakumar, C. Subramanian and P. Ramasamy, Ferroelectrics, 1999, 231, 49-54. (Impact factor –0.697)
Top seeded solution growth of KTiOPO4 (KTP) single crystals and their characterization, S. Ganesamoorthy, F. Joseph kumar, S. Balakumar, C. Subramanian and P. Ramasamy, Mat. Sci. Eng. B, 1999, 60, 88-94. (Impact factor –3.507)
Growth and characterization of KNbO3 single crystals, R. Ilangovan, S. Balakumar and C. Subramanian, Materials Science and Technology, 1999, 15, 132–136. (Impact factor-5.040)
Ferroelectric domain structure studies on PbTiO3 single crystals by polarizing microscope, SEM and AFM, S. Ganesa moorthy, S. Balakumar, C. Subramanian and P. Ramasamy, Ferroelectrics, 1999, 231, 1-4. (Impact factor –0.697)
Growth of lead Calcium Titanate single crystals and their characterizations, S. Balakumar, S. Ganesamoorthy, G. Bocelli and C. Subramanian, Ferroelectrics, 1998, 2079, 497-505. (Impact factor –0.697)
Growth of Lead Titanate single crystals and their characterization. S. Ganesamoorthy, F. Joseph Kumar, S. Balakumarand C. Subramanian, Korean J. of Appl. Phy., 1998, 32, S1214-S1216. (Impact factor –0.63)
Atomic Force Microscope Studies on Domain Dynamics in Phosphate Substituted Triglycine Sulfate Single Crystals: Evidence for the Domain Boundary Motion towards Negative Region and Holes Formation at the Domain Boundary, S. Balakumar, J. B. Xu, G. Arunmozhi, R. Jayavel, N. Nakatani and T. Yamazaki, Jpn. J. Appl. Phys, 1998, 37, 6177-6182. (Impact factor –1.471)
Preparation of orthorhombic molybdenum trioxide single crystals using a semi-open flux growth system, S. Balakumar and H. C. Zeng, Journal of Crystal Growth, 1998, 194, 195-202. (Impact factor – 1.573)
Single-crystal neutron diffraction study of the structural phase transition in Ba0.95Ca0.05TiO3, P. U. M. Sastry, A. Sequeira, H. Rajagopal, B.A. Dasannacharya, S. Balakumar, R. Ilangovan and P. Ramasamy, J. Phys. Condens. Matter., 1998, 10, 899 (Impact factor –2.711)
The growth and characterization of Nucleation active sites on Barium Strontium Titanate single crystals, T. Radhakrishnan, S. Balakumar, K R Radhakrishnan and P. Ramasamy, Bulletin of Electrochemistry, 1998, 14, 366-368.
Surfaces, Interfaces, and Films-Atomic Force Microscope Studies on Domain Dynamics in Phosphate Substituted Triglycine Sulfate Single Crystals: Evidence for the Domain Boundary, Subramanian Balakumar, Jian Bin Xu, GnanasundaramArunmozhi, Ramasamy Jayavel, Noriyuki Nakatani, Toshinari Yamazaki, Japanese Journal of Applied Physics-Part 1 Regular Papers and Short Notes, 1998, 37, 6177-6182. (Impact factor –1.471)
Growth and dielectric studies of flux grown potassium lithium niobate single crystals R. Ilangovan, S. Balakumarand C. Subramanian, Mat. Chem. and Phys., 1997, 51, 23-28. (Impact factor –2.781)
Surface morphology of ferroelectric domains in BaTiO3 single crystals: An atomic force microscope study, S. Balakumar, J. B. Xu, J. X. Ma, S. Ganesamoorthy and I. H. Wilson, Jpn. J. Appl. Phys., 1997, 36, 5566. (Impact factor –1.471)
Domain structure investigation of triglycine sulfo-phosphate single crystals: Evidence of domain motion with time at room temperature, S. Balakumar, J. B. Xu, I. H. Wilson, G. Arunmozhi, N. Nakatani and T. Yamazaki, Jpn. J. Appl. Phys., 1997, 36, 4377. (Impact factor –1.471)
Raman study of phase transition in ferroelectric Ba0.95Ca0.05TiO3, Rekha Rao, A. P. Roy, B. A. Dasannacharya, S. Balakumar, R. Ilangovan and P. Ramasamy, Pramana, 1996, 47, 145-150. (Impact factor –1.185)
The tetragonal-cubic phase transition in mixed perovskite Ba1-xCaxTiO3 single crystal- EPR evidence of impurity induced dynamic effects, Y. Babu, M. D. Sastry, B. A. Dasannacharya, S. Balakumar, R. Ilangovan, P. Ramasamy and M. D. Agarwal, J. Phys. Condens. Matter., 1996, 8, 7847. (Impact factor –2.711)
Preparation, Morphology and X-ray diffraction studies on Barium Strontium Titanate Single Crystals, S. Balakumar, R. Ilangovan, S. Ganesamoorthy and C. Subramanian, Mat. Res. Bulletin, 1995, 30, 897-907. (Impact factor –3.355)
Single-crystal neutron diffraction study of the structural phase transition in Ba0.95Ca0.05TiO3, PUM Sastry, A Sequeira, H Rajagopal, BA Dasannacharya, S. Balakumar, R Ilangovan, P Ramasamy, Journal of Physics: Condensed Matter, 1996, 8, 2905. (Impact factor –2.711)
Growth aspects of bismuth titanate single crystals top seeded solution growth technique, S. Balakumar, R. Ilangovan, and C. Subramanian, Mat. Res. Bulletin, 1995, 30, 499-505. (Impact factor –3.355)
Growth of perovskite ferroelectric and titanosillenite single crystals and their characterization, S. Balakumar, 1995.
Surface Morphology of Ferroelectric Domains in BaTiO3 Single Crystals: An Atomic Force Microscope Study, Subramanian Balakumar, Jian Bin Xu, Jian Xing Ma, SarveswaranGanesamoorthy, Ian Wilson, Masaaki Takashige, Sin-IchiHamazaki, NobutakaFukurai, Fuminao Shimizu, Seiji Kojima, Mater. Res. Bull, 1995, 36, 897. (Impact factor –3.355)
Growth of Ba1-xCaxTiO3 single crystals and their characterization, S. Balakumar, R. Ilangovan, C. Subramanian and P. Ramasamy, Ferroelectrics, 1994, 158, 2829-2834. (Impact factor –0.697)
Growth and characterization of potassium tantalate niobate single crystals, R. Ilangovan, S. Balakumar, C. Subramanian and P. Ramasamy, Ferroelectrics, 1994, 158, 121-126. (Impact factor –0.697)
Growth kinetics of BaTiO3 and Ba1-xCaxTiO3 single crystals, S. Balakumar, R. Ilangovan, C. Subramanian and P. Ramasamy, J. of Mat. Sci. Letts., 1993, 12, 20-22. (Impact factor –3.442)
Growth and characterization of KTa0.3Nb0.7O3 single crystals, R. Ilangovan, S. Balakumar, C. Subramanian and P. Ramasamy, Materials Chemistry and Physics, 1993, 36, 174-176. (Impact factor –2.78
Growth of large size single crystals of Ba1-xCaxTiO3 and their characterization, S. Balakumar, R. Ilangovan, C. Subramanian and P. Ramasamy, Materials Chemistry and Physics, 1993, 37, 82-85. (Impact factor –2.781)