Conferences and Journals
Computer Architecture and Systems
ISCA - International Symposium on Computer Architecture
MICRO - International Symposium on Microarchitecture
HPCA - International Symposium on High-Performance Computer Architecture
ASPLOS - International Conference on Architectural Support for Programming Languages and Operating Systems
IEEE Transactions on Computers (TC)
IEEE Transactions on Parallel and Distributed Systems (TPDS)
FPGA / Reconfigurable Computing
FPGA - International Symposium on Field-Programmable Gate Arrays
FCCM - International Symposium on Field-Programmable Custom Computing Machines
FPL - International Conference on Field-Programmable Logic and Applications
FPT - International Conference on Field-Programmable Technology
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Electronic Design Automation
DAC - Design Automation Conference
ICCAD - International Conference on Computer-Aided Design
DATE - Design, Automation, and Test in Europe
ASP-DAC - Asia and South Pacific Design Automation Conference
VLSI Circuits
ISSCC - International Solid-State Circuits Conference
VLSI - Symposium on VLSI Technology and Circuits
Hot Chips
IEEE Journal of Solid-State Circuits (JSSC)
Teaching
Tokyo Institute of Technology, Department of Information and Communications Engineering, Advanced Computer Programming, Co-Instructor (Spring 2020, 2021, 2022, 2023)
Japan Advanced Institute of Science and Technology, School of Information Science, Digital Logic and Computer Design, Instructor (Spring 2019)