Standard Cell Library Characterization

What is standard cell library characterization?

Cell library characterization is a process of analyzing a circuit using static and dynamic methods to generate models suitable for chip implementation flows.

Why is cell library characterization needed?

No digital chip is possible without cell models. These cell models are produced by cell library characterization using commercial softwares like guna. Every digital chip implementation (rtl-to-gdsII) flow requires cell models for analysis (logic simulation, verification, timing, power, noise etc), implementation (synthesis, test insertion, placement, clock tree synthesis, routing) and fixing (engineering change order, rule fixing etc).

How is cell library characterization performed?

Cell library characterization typically takes cell design extracted as spice circuit and spice technology models. Characterization software like guna, analyzes this information to

  1. acquire or recognize cell's function,
  2. generates stimulus appropriate to determine characteristic (like delay, transition time etc),
  3. simulates it using circuit simulator,
  4. gather simulations output to measure characteristic and
  5. finally writes this data into a standard like libertyTM, veriog or IBIS.

This entire flow is depicted into the picture shown below

Standard Cell Library Characterization Flow

Figure: cell library characterization flow

Cell library characterization output

Cell library characterization produces following outputs, in no particular order

  1. verilog
  2. IBIS
  3. libertyTM Format
    1. Delay
    2. Transition Time
    3. Tristate
    4. Input Capacitance
    5. Hidden Power
    6. Glitch Power
    7. Dynamic Power
    8. Leakage Power
    9. Setup Time
    10. Hold Time
    11. Recovery Time
    12. Removal Time
    13. Minimum Pulse Width
    14. Output Current Waveform
    15. Input Receiver Capacitance
    16. Power Supply Waveforms
    17. Ground Waveforms
    18. Leakage Current
    19. Gate Leakage Current
    20. CCB Output VIVO
    21. CCB Output Voltage Waveform
    22. CCB Input Miller Capacitance
    23. CCB Noise Propagation Model
    24. ... and other

Summary

This article answers main questions on standard cell library characterization and model generation. Scope of this topic is VLSI cell/circuits. Please google for solar cell characterization and bio-cell characterization.