Publications before 2019

International Journals

Sungchan Kim and Hoeseok Yang, “Dual-Port SDRAM Architecture for Low-Power Communication of Internet-of-Things Devices,” Journal of Semiconductor Technology and Science, vol. 17, no. 6, December 2017.

Sungchan Kim and Hoeseok Yang, “An Energy-Aware Runtime Management of Multi-Core Sensory Swarms,” Sensors, 17(9), 1955, August 2017.

Taeyoung Kim, Jintaek Kang, Sungchan Kim, and Soonhoi Ha, “SoPHy+: Programming Model and Software Platform for Hybrid Resource Management of Many-core Accelerators,” Microprocessors and Microsystems, vol. 43, pp. 47–58, June 2016.

Sungchan Kim, Hyunok Oh, Chanik Park, Sangyeun Cho, Sang-Won Lee, and Bongki Moon, “In-storage Processing of Database Scans and Joins,” Information Sciences, vol. 327, pp. 183–200, Jan. 2016.

Shin-Heang Kang, Hae-woo Park, Sungchan Kim, Hyunok oh, and Soonhoi Ha, “Optimal Checkpoint Selection with Dual-Modular Redundancy Hardening,” IEEE Transactions on Computers, vol. 64, no. 7, pp. 2036-2048, Jul, 2015.

Hanwoong Jung, Chanhee Lee, Shin-Heang Kang, Sungchan Kim, Hyunok oh, and Soonhoi Ha, “Dynamic Behavior Specification and Dynamic Mapping for Real-time Embedded Systems: HOPES Approach,” ACM Transactions on Embedded Computing Systems, vol. 13, no. 4s, Article 135, Apr, 2014.

Sungchan Kim and Soonhoi Ha, “System-level Performance Analysis of Multiprocessor System-on-Chips by Combining Analytical Model and Execution Time Variation,” Microprocessors and Microsystems, vol. 38, no. 3, pp. 233–245, May 2014.

Chanhee Lee, Sungchan Kim, Hyunok Oh, and Soonhoi Ha, “Failure-aware Task Scheduling of Synchronous Data Flow Graphs under Real-time Constraints,” Journal of Signal Processing Systems, vol. 73, no. 2, pp. 201-212, Nov. 2013.

Young-Pyo Joo, Sungchan Kim, and Soonhoi Ha, “Efficient Hierarchical Bus-matrix Architecture Exploration of Processor Pool-based MPSoC,” Design Automation for Embedded Systems, vol. 16, no. 4, pp. 293-317, Nov. 2012.

Dukyoung Yun, Sungchan Kim, and Soonhoi Ha, “A Parallel Simulation Technique for Multi-core Embedded Systems and its Performance Analysis,” IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems, vol. 31, no. 1, pp. 121-131, Jan. 2012.

Young-Pyo Joo, Sungchan Kim, and Soonhoi Ha, “Fast Communication Architecture Exploration for Processor Pool-based MPSoC via Static Performance Analysis,” IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems, vol. 30, no. 3, pp. 468-472, Mar. 2011.

Hoeseok Yang, Sungchan Kim, and Soonhoi Ha, “An MILP-Based Performance Analysis Technique for Non-Preemptive Multitasking MPSoC,” IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems, vol. 29, no. 10, pp. 1600-1613, Oct. 2010.

Chooseung Lee, Sungchan Kim, and Soonhoi Ha, “A Systematic Design Space Exploration of MPSoC Based on Synchronous Data Flow Specification,” Journal of Signal Processing Systems, vol. 58, no. 2, pp. 193-213, Feb. 2010.

Soonhoi Ha, Sungchan Kim, Chooseung Lee, Youngmin Yi, Seongnam Kwon, and Young-Pyo Joo, “PeaCE: A Hardware-Software Codesign Environment for Multimedia Embedded Systems,” ACM Transactions on Design Automation of Electronic Systems, vol. 12, no. 3, Article 24, Aug. 2007.

Sungchan Kim and Soonhoi Ha, “Efficient Exploration of Bus-Based System-on-Chip Architectures,” IEEE Transactions on Very Large Scale Integration systems, vol. 14, no. 7, pp. 681-692, Jul. 2006.

Sungchan Kim, Chaeseok Im, and Soonhoi Ha, “Schedule-Aware Performance Estimation of Communication Architecture for Efficient Design Space Exploration,” IEEE Transactions on Very Large Scale Integration systems, vol. 13, no. 5, pp. 539-552, May 2005.

International Conferences and Workshops

Sangwoo Yeom and Sungchan Kim, "Human Branch Point Prediction using Generative Adversarial Networks," in Proceedings of International Symposium on Information Technology Convergence, Oct. 2018.

Youssef Oumate and Sungchan Kim, "Learning Adaptive Spatiotemporal Features for Visual Object Tracking," in Proceedings of International Symposium on Information Technology Convergence, Oct. 2018.

Mingyeong Jeon, Youssef Oumate, and Sungchan Kim , "Interpretable Feature Learning of MRI Data using Generative Adversarial Networks for Alzheimer’s Disease Diagnosis" in Proceedings of International Symposium on Information Technology Convergence, Oct. 2018.

Youssef Oumate and Sungchan Kim , "Robust Object Tracking with Pixel-level Discriminative Appearance Model" in Proceedings of International Symposium on Information Technology Convergence, Oct. 2018.

Hyeonseok Lee, Youssef Oumate, and Sungchan Kim , "Multimodal Deep Neural Networks for Alzheimer's Disease Diagnosis" in Proceedings of International Symposium on Information Technology Convergence, Oct. 2018.

Yongbin Lee and Sungchan Kim, “Empirical Characterization of Power Efficiency for Large Scale Data Processing, ” In Proceedings of International Conference on Adnvaced Communications Technology, pp. 764-767, Jul. 2015.

Taeyoung Kim, Jintaek Kang, Sungchan Kim, and Soonhoi Ha, “SoPHy: A Software Platform for Hybrid Resource Management of Homogeneous Many-core Accelerator,” In Proceedings of International Workshop on Many-core Embedded Systems (in conjunction with International Symposium on Computer Architecture), pp. 17-24, Jun. 2015.

[Invited paper] Sungchan Kim, Chanhee Lee, Taeyoung Kim, and Soonhoi Ha, “Software Platform for Hybrid Resource Management of a Many-core Accelerator for Multimedia Applications,” in Proceedings of IEEE Symposium on Embedded Systems for Real-time Multimedia, pp. 12-19, Oct. 2014.

Shin-Haeng Kang, Hoeseok Yang, Sungchan Kim, Iuliana Bacivarov, Soonhoi Ha, and Lothar Thiele, “Static mapping of mixed-critical applications for fault-tolerant MPSoCs, ” in Proceedings of IEEE/ACM Design Automation Conference, pp. 1-6, Jun. 2014.

Shin-Haeng Kang, Hoeseok Yang, Sungchan Kim, Iuliana Bacivarov, Soonhoi Ha, and Lothar Thiele, “Reliability-Aware Mapping Optimization of Multi-Core Systems with Mixed-Criticality, ” in Proceedings of IEEE/ACM Design, Automation & Test in Europe, Article 327, Mar. 2014.

Chanhee Lee, Sungchan Kim, and Soonhoi Ha, “Efficient Run-time Resource Management of a Manycore Accelerator for Stream-based Applications,” in Proceedings of IEEE Symposium on Embedded Systems for Real-time Multimedia, pp. 51-60, Oct. 2013.

Sangyeun Cho, Chanik Park, Hyunok Oh, Sungchan Kim, Youngmin Yi and Greg Ganger, “Active Disk Meets Flash: A Case for Intelligent SSDs,” in Proceedings of International Conference on Supercomputing, pp. 91-102, Jun. 2013.

Dukyoung Yun, Youngmin Yi, Sungchan Kim, and Soonhoi Ha, “A Cycle-level Parallel Simulation Technique Exploiting both Space and Time Parallelism”, In Proceedings of IEEE International Symposium on Rapid System Prototyping, pp. 50-56, Oct. 2012.

Junchul Choi, Hyunok Oh, Sungchan Kim, and Soonhoi Ha, “Executing Synchronous Dataflow Graphs on an SPM-based Multi-core Architecture”, in Proceedings of IEEE/ACM Design Automation Conference, pp. 664-671, Jun. 2012.

Dukyoung Yun, Sungchan Kim, and Soonhoi Ha, “Relaxed Synchronization Technique for Speeding-up the Parallel Simulation of Multiprocessor Systems,” in Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 449-454, Jan.-Feb. 2012.

Dukyoung Yun, Sungchan Kim, and Soonhoi Ha, “HOPES Simulator: Parallel Simulator for Multiprocessor Systems,” International Software Technology Exchange Workshop, Nov. 2011.

Sungchan Kim, Hyunok Oh, Chanik Park, Sangyeun Cho, and Sang-Won Lee, “Fast, Energy Efficient Scan inside Flash Memory SSDs,” in Proceedings of International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architecture, in conjunction with International Conference on Very Large Data Bases (VLDB), Sep. 2011.

Dukyoung Yun, Jinwoo Kim, Sungchan Kim, and Soonhoi Ha, “Simulation Environment Configuration for Parallel Simulation of Multicore Embedded Systems”, in Proceedings of IEEE/ACM Design Automation Conference, pp. 345-350, Jun. 2011.

Chanhee Yi, Hokeun Kim, Hae-woo Park, Sungchan Kim, Hyunok Oh, and Soonhoi Ha, “A Task Remapping Technique for Reliable Multi-core Embedded Systems,” in Proceedings of IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, pp. 307-316, Oct. 2010.

Young-Pyo Joo, Sungchan Kim, and Soonhoi Ha, “On-Chip Communication Architecture Exploration for Processor-Pool-Based MPSoC,” in Proceedings of IEEE/ACM Design Automation and Test in Europe, pp. 466-471, Apr. 2009.

Sungchan Kim, Chanik Park, and Soonhoi Ha, “Architecture Exploration of NAND Flash-based Multimedia Card,” in Proceedings of IEEE/ACM Design Automation and Test in Europe, pp. 218-223, Mar. 2008.

Hoeseok Yang, Sungchan Kim, Hae-woo Park, Jinwoo Kim, and Soonhoi Ha, “Performance Evaluation and Optimization of Dual-Port SDRAM Architecture for Mobile Embedded Systems,” in Proceedings of IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 53-57, Oct. 2007.

[Best Paper Award] Sungchan Kim and Soonhoi Ha, “Exploring On-Chip Bus Architectures for Multi-Task Applications,” in Proceedings of International SoC Design Conference, pp. 1-4, Oct. 2004.

Seongnam Kwon, Choonseung Lee, Sungchan Kim, Youngmin Yi, and Soonhoi Ha, “Fast design space exploration framework with an efficient performance estimation technique,” in Proceedings of IEEE Workshop on Embedded Systems for Real-Time Multimedia, pp. 27-32, Sep. 2004.

Sungchan Kim, Chaeseok Im, and Soonhoi Ha, “Efficient Exploration of On-Chip Bus Architectures and Memory Allocation,” in Proceedings of IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp. 248-253, Sep. 2004.

Sungchan Kim, Chaeseok Im, and Soonhoi Ha, “Schedule-Aware Performance Estimation of Communication Architecture for Efficient Design Space Exploration,” in Proceedings of IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp. 195-200, Oct. 2003.

Dohyung Kim, Chae-Eun Rhee, Youngmin Yi, Sungchan Kim, Hyunuk Jung, and Soonhoi Ha, “Virtual Synchronization for Fast Distributed Cosimulation of Dataflow Task Graphs,” in Proceedings of IEEE/ACM International Symposium on System Synthesis, pp. 174-179, Oct. 2002.

Chanik Park, Sungchan Kim, and Soonhoi Ha, “Dataflow Specification for System Level Synthesis of 3D Graphics Applications,” in Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 78-84, Feb. 2001.

Domestic Journals

김민지, 김성찬, “컨볼루션 특징 맵의 상관관계를 이용한 영상물체추적,” 대한임베디드공학회논문지, vol. 11, no. 4, Aug. 2018.

강진택, 김태영, 김성찬, 하순회, “복수 메모리 타일을 가진 NoC 매니코어 플랫폼에서의 태스크-타일 바인딩 기술 (Task-to-Tile Binding Technique for NoC-based Manycore Platform with Multiple Memory Tiles),” Journal of KIISE, vol. 43, no. 2, Feb. 2016.

이용빈, 김성찬, “데이터 플로우 기반 응용들을 위한 GPU 스케줄링 프레임워크,” 멀티미디어학회논문지, vol. 17, no. 10, Oct. 2014.

김성찬, 이찬희, 오현옥, 하순회, “실시간 제약성을 갖는 멀티프로세서 임베디드 시스템의 프로세서 결함을 위한 스케줄 기법,” 정보과학회지, 제 30권, 제 2호, pp. 49-58, Feb. 2012.

김성찬, 하순회, “멀티 프로세서 시스템-온-칩(MPSoC)을 위한 버스 매트릭스 구조의 빠르고 정확한 성능 예측 기법,” 정보과학회논문지: 시스템 및 이론, 제 35권, 제 11호, pp. 527-539, Dec. 2008.

주영표, 윤덕용, 김성찬, 하순회, “시스텝-온-칩의 하드웨어-소프트웨어 통합 시뮬레이션을 위한 다목적 설계 프레임워크,” 정보과학회논문지: 시스템 및 이론, 제 35권, 제 10호, pp. 485-496, Oct. 2008.

양회석, 김성찬, 박해우, 김진우, 하순회, “모바일 내장형 시스템을 위한 듀얼-포트SDRAM의 성능 평가 및 최적화,” 정보과학회논문지: 컴퓨팅의 실제 및 레터, 제 14권, 제 5호, pp. 542-546, Jul. 2008.

김성찬, 임채석, 하순회, “온 칩 버스 구조와 메모리 할당에 대한 효율적인 설계 공간 탐색,” 정보과학회논문지: 시스템 및 이론, 제 32권, 제 2호, pp. 55-67, Feb. 2005.

Sungchan Kim and Soonhoi Ha, “Exploring on-chip bus architectures for multi-task applications,” in Journal of Semiconductor Technology and Science, vol. 4, no. 4, pp. 286-292, Dec. 2004.

Domestic Conferences

전민경, 이현석, 김성찬 "MRI 기반 알츠하이머병 진단을 위한 CNN 모델의 복잡도와 성능의 연관성 분석", 한국정보과학회 학술논문발표집, Jun. 2018.

강진택, 김태영, 김성찬, 하순회 “NoC 기반 매니코어 플랫폼에서의 태스크-타일 바인딩 기술”, 한국컴퓨터종합학술대회 논문집, vol. 2015, no. 6, pp. 1484-1486, Jun. 2015.

이용빈, 김성찬, “응용의 런타임 특성을 이용한 멀티코어 임베디드 시스템의 에너지 최적화를 위한 자가적응 기법”, 한국컴퓨터종합학술대회 논문집, vol. 2015, no. 6, pp. 1519-1521, Jun. 2015

[Best paper award] 김종휘, 김종익, 김성찬, “GPGPU를 이용한 빠르고 효율적인 유사 문자열 검색”, 한국정보과학회 가을 학술발표논문집, vol. 39, no. 2(A), pp. 10-12. Nov. 2012

조용우, 김성찬, 하순회, “Rewriting Logic을 이용한 다중 태스크-다중 프로세서 임베디드 시스템의 성능 분석,” 한국반도체학술대회, pp. 338-339, Feb. 2009.

김성찬, 하순회,”멀티 프로세서 시스템-온-칩(MPSoC)을 위한 버스 매트릭스 구조의 빠르고 정확한 성능 예측 기법,” 한국정보과학회 가을 학술발표논문집, vol. 34, no. 2(A), pp. 259-260, Oct. 2007.

양회석, 김성찬, 박해우, 김진우, 하순회, “모바일 내장형 시스템을 위한 듀얼-포트 SDRAM의 성능 평가 및 최적화,” 한국정보과학회 가을 학술발표논문집, vol. 34, no. 2(A), pp. 315-316, Oct. 2007.

Sungchan Kim, Kyongjoo Oh, Chaeseok Im, and Soonhoi Ha, “Efficient Performance Estimation of Round-Robin Based Communication Architecture for Design Space Exploration,” in Proceedings of SOC Design Conference, pp. 225-230, Nov. 20